硬件描述语言及器件实验指导书

合集下载

西工大硬件描述语言实验报告

西工大硬件描述语言实验报告

西工大硬件描述语言实验报告

硬件描述语言实验报告班级:xxxxxxxx学号:xxxxxxxx姓名:xxxxxxxx 目录硬件描述语言-0-实验报告-0-实验一简单组合逻辑设计-2-实验二简单分频时序逻辑电路的设计-4-实验三利用条件语句实现计数分频时序电路-7-实验四阻塞赋值与非阻塞赋值的区别-12-实验五用always块实现较复杂的组合逻辑电路-16-实验六在Verilog中使用函数-21-实验七在Verilog HDL 中使用任务(task)-25-实验八利用有限状态机进行时序逻辑的设计-32-实验九利用状态机实现比较复杂的接口设计-36-实验十利用SRAM设计一个FIFO-46-实验一简单组合逻辑设计一、实验目的 1.掌握基本组合逻辑电路的实现方法。

2.初步了解两种基本组合逻辑电路的生成方法。

3.学习测试模块的编写。

4.通过综合和布局布线了解不同层次仿真的物理意义。

二、实验内容本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。

三、实验步骤 1.建立工程文件,编写模块源码和测试模块,要求测试模块对源文件进行比较全面的测试;

2.编译源码和测试模块,用测试模块对源文件进行测试,并进行仿真;

3.观察综合后生成的文件和源文件的不同点和相同点。

4.综合时采用不同的FPGA器件,观察综合后的结果有什么不同。

四、实验代码 1.模块源码module compare(equal,a,b);input a,b;output equal;assign equal=(a==b)?1:0;endmodule 2.测试代码`timescale1ns/1ns module compare_t;reg a,b;wire equal;initial begin a=0;b=0;#100a=0;b=1; #100a=1;b=1;#100a=1;b=0;#100a=0;b=0;#100$stop;end compare

硬件描述语言及器件实验指导实验指导书最终版

硬件描述语言及器件实验指导实验指导书最终版

硬件描述语言及器件实验指导书

电子科学与技术专业组

第1章实验一用硬件描述语言的方法设计一个三输入与门

电路

一、实验目的

1、掌握MAX+plusII的使用方法。

2、掌握VHDL语言程序的基本结构。

2、掌握使用硬件描述语言设计数字电路的方法和步骤。

3、掌握用硬件描述语言设计三输入与门电路的方法。

二、实验器材

1.台式计算机1台。

2.可编程逻辑逻辑器件实验软件1套。

3.下载电缆一套。

4.示波器一台。

三、实验说明

1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平台,供用户使用。

2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理图下载到可编程逻辑逻辑器件中。

3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线接电源,用黑色导线接地。

4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。

四、实验内容与要求

用硬件描述语言的方法设计一个三输入与门电路,要求:

(1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现,并进行仿真;

(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真;

五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述)

1.打开新建菜单选择“textedtiorfile”,这个文件是写程序编程用的。

2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能是桌面和硬盘的根目录,否则在后面的编程将出现错误。

(2013)硬件描述语言上机操作指导书

(2013)硬件描述语言上机操作指导书

硬件描述语言及应用

实验指导书

河南科技大学

电子信息工程学院

VHDL语言及应用实验指导书

宋潇主编

齐晶晶副主编

电工电子实验教学中心

2010年3月

目录

实验一MAX+PLUSⅡ基本应用练习 (1)

实验二VHDL基本结构设计练习 (6)

实验三基本语句编程练习一 (8)

实验四基本语句编程练习二 (10)

实验五VHDL组合逻辑电路设计 (12)

实验六VHDL时序逻辑电路设计 (14)

实验七VHDL有限状态机设计 (16)

附录各种下载板与主板主要器件连接关系表 (18)

I

实验一MAX+PLUSⅡ基本应用练习

为了使读者快速学会使用Altera公司的EDA工具软件MAX+PLUSⅡ,下面将以简单组合逻辑的设计为例,讲解如何利用MAX+PLUSⅡ软件进行设计和测试的全过程。

一、实验目的

1、初步了解VHDL语言;

2、了解VHDL语言的编程环境及开发软件MAX+PLUSⅡ的运行;

3、了解开发软件MAX+PLUSⅡ的编译、仿真等。

二、实验环境

本指导书中的VHDL语言均在MAX+PLUSⅡ中进行编译仿真,有需要实验箱的情况下使用SE-5型实验箱,管脚的连接参照附录中《各种下载板与主板主要器件连接关系表》。

三、预习要求

初步掌握VHDL语言的基本结构,熟悉该数据类型与运算操作符,了解MAX+PLUSⅡ的运行过程。

四、实验内容及步骤

简单组合逻辑包括两个输入、三个输出,如下表1-1。

1

1、原理图设计

图1-1简单组合逻辑的原理图设计

2、VHDL源程序

(1)创建新目录及启动MAX+PLUSⅡ

a、建立新目录

在创建新项目之前,首先要为该设计(项目)建立一个新目录,如“e:\example”。

《数字逻辑与硬件描述语言》实验指导书-003293007

《数字逻辑与硬件描述语言》实验指导书-003293007

数字逻辑与硬件描述语言

实验指导书

(适用于通信工程以及电子信息工程专业)

信息学院技术中心

1

目录

第一部分基础知识

(一)MAXPLUSII基本使用 (1)

(二)VHDL简明教程 (10)

第二部分基础实验

实验一门电路功能测试 (37)

实验二编码器、译码显示器的功能测试 (40)

实验三触发器及其应用 (44)

实验四移位寄存器功能测试 (47)

第三部分综合选做实验

实验五秒表的设计 (50)

实验六数字钟的设计 (52)

2

第一部分基础知识(一)MAXPLUSII 基本使用

设计流程图

一、图形输入的设计过程

在本节将以图形输入法为例讲述图形方法设计的整个过程。在MAX—PLUSII中,用户的每个独立设计都对应一个项目,每个项目可饮食一个或多个设计文件,其中有一个是顶层文件,顶层文件的名字必须与项目名相同。编译器是对项目中的顶层文件进行编译。项目还管理所有中间文件,所有项目的中间文件的文件名相同,仅后缀名(扩展名)不同,对于每个部的项目最好建立一个单独的子目录。

(一)项目建立

1.启动MAX+PLUSII 10.0

从“开始”菜单“程序”中的“MAX+PLUSII 10.0 Baseline”组中的“MAX+PLUSII 10.0 Baseline”,单击“MAX+PLUSII 10.0 Baseline”项。

2.在File菜单中选择Project的Name项,输入设计项目的名字。3.启动菜单File\New,选择Graphic Editor File,打开原理图编译器,进行原理图设计输入。(如图1-1)

图1-1 原理图设计界面

VHDL硬件描述语言实验指导书(部分)

VHDL硬件描述语言实验指导书(部分)

目录

第一部分 QuartusⅡ CPLD开发系统简介 (1)

第二部分 基础验证实验 (16)

实验1 译码器及译码显示电路设计 (16)

实验2 编码器及数据选择器设计 (20)

实验3 加法器设计 (23)

实验4 乘法器设计 (26)

实验5 触发器设计 (34)

实验6 寄存器设计 (39)

实验7 移位寄存器设计 (41)

实验8 计数器设计 (44)

实验9 可预置数的计数器设计.....错误!未定义书签。第三部分 设计型实验...............错误!未定义书签。

实验1 频率计设计...............错误!未定义书签。

实验2 多功能数字钟设计.........错误!未定义书签。

实验3 彩灯控制器设计...........错误!未定义书签。

实验4 交通灯控制器设计.........错误!未定义书签。

第一部分 QuartusⅡ CPLD开发系统简介

1.1 概述

ALTERA公司的CPLD开发系统目前主要MAX+PLUSⅡ和QuartusⅡ两种,其中MAX+PLUSⅡ在前面几章内容已经做了详细介绍。QuartusⅡ的用途与MAX+PLUSⅡ是

一致的也是解决CPLD/FPGA开发过程中的编辑、编译、综合及仿真等内容。目前最

高版本为QuartusⅡ2.1,是目前CPLD/FPGA开发工具中较为理想的综合、仿真软

件。具有许多优良的特性。

1、承了MAX+PLUSⅡ的优点:图形输入依然形象,图形符号与MAX+PLUSⅡ符号一样符

合数字电路的特点,大量74系列器件符号使初学者在较短的时间内利用图形编辑

硬件描述语言实验报告

硬件描述语言实验报告

《硬件描述语言》实验报告

学院:

学号:

姓名:

专业:

实验时间:

实验地点:

指导教师:

年月

实验一简单组合逻辑设计

一、实验目的及要求:

1.掌握基本组合逻辑电路的实现方法。

2.初步了解两种基本组合逻辑电路的生成方法。

3.学习测试模块的编写。

4.通过综合和布局布线了解不同层次仿真的物理意义。

二、实验设备及要求

装有modesim和synplify的电脑一台

三、实验内容与步骤

1.实验内容:

本次实验采用Verilog HDL语言设计一个可综合的数据比较器,其功能是比较数据a与数据b的结果,如果两个数据相同,则输出结果1,否则给出结果0;并写出测试模型,使其进行比较全面的测试。

2.实验步骤:

(1)建立工程文件,编写模块源码和测试模块,要求测试模块对源文件进行比较全面的测试;

(2)编译源码和测试模块,用测试模块对源文件进行测试,并进行仿真;(3)观察综合后生成的文件和源文件的不同点和相同点。

(4)综合时采用不同的FPGA器件,观察综合后的结果有什么不同。

四、实验结果与数据处理:

1.RTL图及仿真后波形图:

2.综合后的电路图:

五、分析与讨论:

1.课本练习一的测试方法二中,第二个initial块有什么用?它与第一个initial块有什么关系?

答:测试方法二中的第二个initial用来暂停仿真以便观察仿真波形,它与第一个initial是并行关系

2.如果在第二个initial块中,没有写出#10000或者$stop,仿真会如何进行?答:如果没有写#10000,仿真会直接停止,没有$stop,仿真不会结束。

3.比较两种测试方法,哪一种更全面?

《可编程器件与硬件描述语言》课程教学大纲

《可编程器件与硬件描述语言》课程教学大纲

《可编程器件与硬件描述语言》课程教学大纲

课程编号:ABJD0522

课程名称:可编程器件与硬件描述语言

英文名称:Programmab1eDevicesandHardwareDescription1anguage

课程性质:选修

课程学分数:4学分

课程学时数:40学时

授课对象:电子信息工程、电子科学与技术

本课程的前导课程:模拟电子、数字电子

一、课程简介

《可编程逻辑器件》是电子科学与技术专业的一门重要的专业基础选修课,又是一门发展迅速、工程性强、须紧密结合技术发展前沿的现代电子设计技术课程。它主要是介绍现代数字集成电路的设计方法即EDA技术。课程在专业培养目标中起着具有承上启下的桥梁作用,通过本课程的学习可以激发学生学习先进的电子电路设计技术的兴趣,培养学生主动探索、努力进取、团结协作的精神。课程的具体目标是通过本课程及其它相关课程的学习,使学生能够掌握常用的MAX+p1usI1、Quartus11和ispEXPERT等EDA开发软件,及时将学科的最新成果引入教学中,将HD1硬件描述语言编程方法和FPGA的开发技术及符合工程规范的系统设计技术有机地融合在一起,强调理论和实际的联系,培养学生的创新能力和实验动手能力。

在学习电子电路的基础上,了解可编程逻辑器件的基本原理,掌握硬件描述语言,分析用硬件描述语言编程程序的方法和思路,并掌握在软件中对程序调试和实现。要求学生在掌握理路知识的基础上,重点提高学生的动手能力,并在此基础上,完成本课程的课程设计,学会设计具体电子电路并在FPGA上实现的能力。

硬件描述语言实验二

硬件描述语言实验二

硬件描述语言实验二:一位全加器实验

1、实验目的:进一步练习VHDL语言设计工程的建立与仿真的步骤和方法、熟悉VHDL语言基本设计实体的编写方法。

2、实验环境:PC个人计算机、Windows XP操作系统、Quartus II集成开发环境软件。

3、实验要求:设计一个一位全加器,实体名称为“full_adder”,其引脚与功能如下表。

端口模式端口

数据类

功能逻辑表达式说明

in(输

入)

a

std_logic 加数

b加数

ci 低位进位

out(输出)

s s <= a xor b xor ci和co

co <= (a and b) or (a and

ci) or (b and ci)

高位进

4、实验步骤:①建立工程、②编辑代码、③编译及修改错误、④建立仿真波形并仿真、⑤根据仿真结果分析设计是否正确。

实验报告要求:实验报告头部写明实验题目、实验人姓名、实验人学

号,实验地点、实验时间等。实验报告正文写明实验名称、实验目的、实验环境、设计要求、实验步骤、实验结果及分析。

《硬件描述语言》教学大纲.

《硬件描述语言》教学大纲.

《硬件描述语言》教学大纲

课程名称:硬件描述语言

英文名称:Hardware Description Language

课程编号:09420016

学时数及学分:64学时 4学分

教材名称及作者:侯伯亨顾新编著:《VHDL硬件描述语言与数字逻辑电路设计》

出版社、出版时间:西安电子科技大学出版社,1999年

本大纲主笔人:侯俊勇

一、课程的目的、要求和任务

本课程是一门必修课。主要介绍VHDL语言以及应用VHDL及EDA工具开发设计数字系统的基本方法及技术,具有很强的工程实践性。

本课程的教学目的是,使学生通过对VHDL语言及EDA技术的学习和训练,获得现代硬件数字电路的软件化设计方法,了解并初步掌握当代国际数字技术设计领域的最新技术;激发并调动学生创造性思维能力,为学生在数字技术领域的进一步深入探索和进行创新奠定基础。

二、大纲的基本内容及学时分配

基本要求:

1.掌握VHDL语言的程序结构、基本描述语句及描述方法;

2.熟悉EDA实验开发系统的使用;

3.掌握小型数字系统的VHDL设计技术。

教学内容:

1.数字系统硬件设计概述(2)

传统的硬件设计方法

利用硬件描述语言(HDL)的硬件电路设计方法

利用VHDL语言设计硬件电路的优点

2.VHDL语言程序基本结构(6)

VHDL语言设计的基本单元及其构成VHDL语言设计的基本单元及其构成

VHDL语言构造体的子结构描述

包集合、库及配置

3.VHDL语言的数据类型及运算操作符(2)

VHDL语言的客体及其分类

VHDL语言的数据类型

VHDL语言的运算操作符

4.VHDL语言构造体的描述方式(6)

西工大硬件描述语言实验报告实验九

西工大硬件描述语言实验报告实验九

西北工业大学

《硬件描述语言》实验报告

实验九

学院:计算机学院

学号:

姓名:

专业:计算机科学与技术

实验时间:2013年11月18日

实验地点:实验大楼三层南机房

指导教师:韩兵

西北工业大学

2013年11月

图1

硬件描述语言与数字系统设计实验指导书_lab1

硬件描述语言与数字系统设计实验指导书_lab1

《信号与信息处理综合实验(FPGA部分)》

实验指导书

实验一FPGA使用入门

一、实验目的

(1)掌握ISE 13.2集成开发环境和Modelsim软件的使用方法;

(2)熟悉S6 Card实验板的使用方法。

(3)掌握使用Verilog HDL语言实现常用组合逻辑和时序逻辑的方法。

(4)了解Chipscope的功能与使用方法

二、实验内容

(1)熟悉S6 CARD实验板;

(2)熟悉ISE集成开发环境;

(3)3比特加法器仿真与上板实验

(4)m序列产生器仿真与在板Chipscope调试

三、实验要求

按下面的说明逐步操作,实验结束后需经教师或助教验收,并将工作目录压缩后重新命名,命名规则为“组号_lab1.zip(rar)”,并分别撰写实验报告,上传到ftp上的”FPGA学生作业/实验一”文件夹。

四、实验过程说明

(一)熟悉S6 CARD实验板

1 S6 CARD开发板整体架构

板卡体积小巧,面积与身份证大小相同,故命名为S6 CARD板卡,其实物图如图1所示。

图1 S6 CARD板卡实物图

S6 CARD开发板以Spartan-6系列的XC6SLX9-TQ144芯片为核心,供电、下载与调试都通过板卡自身的USB接口完成,扩展了LED、GPIO、UART以及USB-JTAG电路,结构如图2

所示。此外,S6 CARD通过USB线完成板卡供电和调试,便于使用。

图2 S6 CARD板卡结构图

其中,Flash芯片使用了32Mb的SPI Flash M25P32,容量大,也适合于嵌入式系统开发。系统时钟由外部晶振给入,频率为50MHz。

【最新文档】硬件描述语言实验报告-范文模板 (12页)

【最新文档】硬件描述语言实验报告-范文模板 (12页)

本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!

== 本文为word格式,下载后可方便编辑和修改! ==

硬件描述语言实验报告

Verilog HDL 硬件描述语言

实验报告

学院:信息科学与工程学院班级:

学号:姓名:

实验一简单组合逻辑电路的设计

一实验要求

1. 用verilog HDL语言描写出简单的一位数据比较器及其测试程序;

2. 用测试程序对比较器进行波形仿真测试;画出仿真波形;

3. 总结实验步骤和实验结果。

二实验原理与内容

这是一个可综合的数据比较器,很容易看出它的功能是比较数据a与数据b,如果两个数据相同,则给出结果1,否则给出结果0。在Verilog HDL中,描述组合逻辑时常使用assign结构。注意equal=(a==b)?1:0,这是一种在组合逻辑

实现分支判断时常使用的格式。模块源代码:

//--------------- compare.v ----------------- module

compare(equal,a,b); input a,b; output equal;

assign equal=(a==b)?1:0; //a等于b时,equal输出为1;a不等于b时,

//equal输出为0。 endmodule

测试模块用于检测模块设计得正确与否,它给出模块的输入信号,观察模块的

内部信号和输出信号,如果发现结果与预期的有所偏差,则要对设计模块进行

修改。测试模块源代码:

`timescale 1ns/1ns //定义时间单位。 module comparetest; reg a,b; wire equal;

硬件描述语言实验报告

硬件描述语言实验报告

硬件描述语⾔实验报告

北京科技⼤学计算机与通信⼯程学院硬件描述语⾔实验报告

专业:计算机科学与技术

班级:

学⽣姓名:

学号:

指导教师:

实验地点:

实验时间:2017 年⽉⽇~ ⽉⽇

实验成绩:________________________________

⼀、实验⽬的与实验要求

1 实验⽬的

(1)熟悉常⽤的EDA设计⼯具、设计流程,掌握前端设计要点;

(2)通过简单的逻辑功能模块的设计,加深对硬件描述语⾔的理解和对逻辑设计的认识;

(3)理解逻辑综合的概念,熟悉V erilog的编码风格,掌握可综合的逻辑设计;

(4)训练组合逻辑设计、时序逻辑设计的能⼒,以及基本的设计验证能⼒。

2 实验要求

(1)在有限的实验课内学时可能难以较好完成所有实验内容,因此将实验板分组下发,要求在实验课之外抽时间完成剩余实验内容;

(2)对于每个实验都需要完成逻辑电路设计以及TestBench模块的编写,能够根据前仿真的波形图给粗结果分析;针对需要板⼦上验证的题⽬,需进⼀步给出相关控制逻辑的设计及板级验证说明。

(3)完成的每个实验需要在实验课内经指导教师或助教现场检查、解释波形及验证逻辑,回答指导教师提出的问题,以确保实验完成的质量;

⼆、实验设备(环境)及要求

实验室提供Windows 7操作系统下的Xilinx开发平台vivado2015.4环境,以及EGO开发板。

三、实验内容、步骤与结果分析

1 实验1

1.1 实验内容

设计⼀个周期为40个时间单位的时钟信号,其占空⽐为25%。使⽤always和initial块进⾏设计。将其在仿真0时刻的值初始化为0。

硬件设计指导书-概述说明以及解释

硬件设计指导书-概述说明以及解释

硬件设计指导书-概述说明以及解释

1.引言

硬件设计是指在设计电子产品的过程中,涉及到硬件部分的方案设计、元器件选型、电路设计和PCB布局等工作。硬件设计在整个产品设计过程中占据了重要的地位,直接影响着产品性能、稳定性和可靠性,因此必须认真对待。

本指导书旨在为硬件设计人员提供一些基本的指导和建议,帮助他们更好地完成硬件设计的工作。在接下来的章节中,我们将介绍硬件设计的基础知识、设计流程和注意事项,希望能够帮助读者更好地理解和掌握硬件设计的要点。章1.1 概述部分的内容

1.2 文章结构

本指导书主要分为三个部分:引言、正文和结论。

在引言部分,我们将总体概述硬件设计的重要性和基本原则,明确本文的目的和意义,为读者提供一个整体的认识。

在正文部分,我们将详细介绍硬件设计的基础知识,包括硬件设计的定义、重要性以及基本原则。然后我们将深入讨论硬件设计的流程,包括设计需求分析、电路设计与模拟、PCB设计与布局等内容。接着,我们将

介绍一些硬件设计的注意事项,包括电路稳定性与可靠性、信号完整性与干扰抑制、散热与电磁兼容性等方面。

在结论部分,我们将对整篇文章进行总结回顾,展望硬件设计的未来发展,并为读者提供一些建议和建议。希望本指导书可以帮助读者更好地理解和应用硬件设计知识,提高硬件设计的效率和质量。

1.3 目的

本指导书的目的是为了帮助硬件设计人员更好地理解和掌握硬件设计的基础知识、流程和注意事项。通过对硬件设计的概念、重要性和基本原则的介绍,读者可以建立起对硬件设计的整体认识。在详细讲解硬件设计流程和注意事项的过程中,读者可以学习如何进行设计需求分析、电路设计与模拟、以及PCB设计与布局等关键步骤,并了解如何确保电路稳定性、信号完整性、散热和电磁兼容性等方面的要求。

硬件描述语言实验

硬件描述语言实验

《硬件描述语言》实验指导书

南通大学电子信息学院

2008 年 2 月

1、目的和任务

《硬件描述语言》是一门侧重于数字电子系统的设计和测试方法的课程,它的上机实验是教学中的一个重要环节。通过上机学习,学生不仅可以系统地复习、巩固该课程的基本理论,而且培养学生解决问题能力和创新能力,同时为该课程的课程设计作准备。

2、教学基本要求

(1)、熟练使用 EDA 软件(Quartus II、ModelSim);

(2)、掌握用 Verilog HDL 实现组合逻辑电路和时序逻辑电路的方法。3、实验条件

(1)、PC 机、Quartus Ⅱ软件和 ModelSim软件

(2)、GW48型 EDA 实验开发系统(EP1K30TC144-3)

4、实验内容

序号实验内容

1 实验一设计工具的使用

2 实验二组合逻辑电路设计(一)

3 实验三组合逻辑电路设计(二)

4 实验四总线与总线操作

5 实验五时序逻辑电路设计(一)

6 实验六时序逻辑电路设计(二)

5、实验成绩

实验成绩的评定由实验的验收等级和实验报告等级两个部分组成,各占50%。实验的验收等级和实验报告等级均采用 5 个等级,即优、良、中、及格和不及格。实验成绩占本课程平时成绩的50%。

实验一设计工具的使用

1、实验目的与要求

掌握在 Quartus Ⅱ开发环境下,运用硬件描述语言输入法对“三人表决器”进行设计输入、编译、调试和仿真的方法。

“三人表决器”电路的输入为 SW1、SW2 和 SW3,输出为 L3 和 L4,位宽均为 1 位。当SW1、SW2 和 SW3中有超过2个以上的输入为1时,要求熟悉整个设计流程,从打开、建立文档、编辑、编译、建立激励信号波形及最后仿真的整个过程。

硬件技术实验指导书1.docx

硬件技术实验指导书1.docx

计算机硬件技术基础实验指导书

计算机科学与技术学院

软件学院

2012年3月

实验一硬件基础实验

一、 实验目的:

1. 掌握运算器的组成及工作原理;

2. 了解4位函数发生器74LS181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过 程;

3. 验证带进位控制的74LSI81的功能。 二、 预习要求:

1复习本次实验所用的各种数字集成电路的性能及工作原理; 2预习实验步骤,了解实验屮要求的注意之处。 三、 实验设备:

EL-JY-II 型计算机组成原理实验系统一套,排线若干。 四、 电路组成:

本模块由算术逻辑单元 ALU 74LS181 (U7、U8、U9、U10)、暂存器 74LS273 (U3、U4、U5、 U6)、三态门74LS244 (Ull 、U12)和控制电路(集成TEP1K10内部)等组成。电路图见图l ・l (a )、

M(b)o

TO

ULS244

HDBIC >

cut I CLKI H

w “inn at

2 ILDO LDATAO 3

2Q

i ILDl 6 ILS xLPATAl ♦ IP an A A

9 133 JDADQ ' JP 賀

» SQ

U IL3 K LDATAJ 8

15 1LDJ 、LDAYM B •P <r> A

16 1LM 、LDATA5 14

19 \Lt>t

X LDATA6

17

XDATA7 18

CLR 1

eg n

■Q

M HL$2T3

cut »er ■ m

2 2LD0 、、LDATAO 3

‘ wMk ly 2Q m itc

5 2LDI

6 2U>2 ^LDATAl ♦ IP 珂

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
7.在“project”中设置“setprojecttocurrentfile”把文档设置在程序编程所 在的工程下,否则会在时出现错误。
8.选择“MAX+PLUS”中“Floorplaneditor”,设置输入、输出管脚在芯片 I/O 上。
9. 选 择 菜 单 MAX+plus 中 “ Programmer ” , 同 时 在 Options 菜 单 上 选 中 HardwareSetup,在 HardwareType 中,选择 ByteBlaster,在 ParallelPort 中, 选择 LPT1,然后按 OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求, 并记录实验结果。
2.选择菜单“File”→“SaveAs”,选择为自己建立的工程文件夹,将已编程好 的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且 不可带空格。
3.在“project”中设置“setprojecttocurrentfile”把文档设置在工程下,否 则会在时出现错误。
4.选择“Assign”菜单中“Device”项,弹出 Device 对话框,在 Device 对话框 中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出 现错误。
6. 在 下 载 到 实 验 箱 之 前 先 进 行 仿 真 观 察 结 果 是 否 满 足 实 验 要 求 , 打 开 “MAX+PLUS—waveformeditor”做波形仿真
7.点击鼠标右键选择“enternodesfromSNF”,选择输入 A,B,C 和输出管脚 F,点 击
8.在 file→projectset→projecttocurrentfile,这步பைடு நூலகம்将文件设置在工程里, 能够进行正常的仿真,否则后面的过程将不能进行
7.在“project”中设置“setprojecttocurrentfile”把文档设置在程序编程所 在的工程下,否则会在时出现错误。
8.选择“MAX+PLUS”中“Floorplaneditor”,设置输入、输出管脚在芯片 I/O 上。
9. 选 择 菜 单 MAX+plus 中 “ Programmer ” , 同 时 在 Options 菜 单 上 选 中 HardwareSetup,在 HardwareType 中,选择 ByteBlaster,在 ParallelPort 中, 选择 LPT1,然后按 OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求, 并记录实验结果。
5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有 错误。出现 warning 时有时可以忽略不计,但出现错误一定要及时修改直至无错 误出现。
6.选择“max+plus”菜单中“waveformeditor”,将设置输入、输出管脚的初值 和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。
(2)根据三输入与门的真值表,在构造体中使用进程语句实现,并进行仿真; 五、实验步骤(下面七个的实验的软件的使用具体步骤跟本次试验一样不再详述) 1.打开新建菜单选择“textedtiorfile”,这个文件是写程序编程用的。
2.程序写完后点“保存”,注意(1)保存的格式是.vhd,(2)保存的地址不能 是桌面和硬盘的根目录,否则在后面的编程将出现错误。
六、实验报告要求
1、整理实验结果,填写实验报告。 2、小结实验心得体会。
第4章 实验四用硬件描述语言的方法设计一个分频器
一、实验目的
1、掌握各种分频器的设计思路 2、掌握用硬件描述语言设计各种分频器的方法
二、实验器材
1.台式计算机 1 台。 2.可编程逻辑逻辑器件实验软件 1 套。 3.下载电缆一套。 4.示波器一台。
7.在“project”中设置“setprojecttocurrentfile”把文档设置在程序编程所 在的工程下,否则会在时出现错误。
8.选择“MAX+PLUS”中“Floorplaneditor”,设置输入、输出管脚在芯片 I/O 上。
9. 选 择 菜 单 MAX+plus 中 “ Programmer ” , 同 时 在 Options 菜 单 上 选 中 HardwareSetup,在 HardwareType 中,选择 ByteBlaster,在 ParallelPort 中, 选择 LPT1,然后按 OK,此时硬件连接完毕。观察实验箱结果是否符合实验要求, 并记录实验结果。
四、实验内容与要求 根据三-八译码器的真值表,用硬件描述语言的方法设计一个三-八译码器,要求: (1)使用 IF 语句实现,并进行仿真; (2)使用 CASE 语句实现,并进行仿真;
五、实验步骤
1.打开 MAX+PLUS 软件,点击“file”中“new”建立“textedtiorfile”文档并 输入该实验的程序。
3.在 file→projectset→projecttocurrentfile,这步是将文件设置在工程里, 能够正常编译和下载。
4.在“assign→device”选择下载设备的芯片型号,如果芯片型号选错将导致以 后能编译成功但下载到实验箱时无法正常工作。
5.在“max+plux”中选择“compiler”进行编译。
2.选择菜单“File”→“SaveAs”,选择为自己建立的工程文件夹,将已编程好 的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且 不可带空格。
3.在“project”中设置“setprojecttocurrentfile”把文档设置在工程下,否 则会在时出现错误。
4.选择“Assign”菜单中“Device”项,弹出 Device 对话框,在 Device 对话框 中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出 现错误。
四、实验内容与要求
用硬件描述语言的方法设计一个分频器,要求: (1)实现四分频器,并进行仿真; (2)实现五分频器,并进行仿真; 五、实验步骤
1.打开 MAX+PLUS 软件,点击“file”中“new”建立“textedtiorfile”文档并 输入该实验的程序。
2.选择菜单“File”→“SaveAs”,选择为自己建立的工程文件夹,将已编程好 的程序保存(注意后缀是.vhd)在此文件夹内。注意:文件夹名不能用中文,且 不可带空格。
9.点击如图所示按键设置输入管脚 A,B,C 的时钟周期,点击“MAX+PLUS”中 simulator 进行仿真,观察结果是否和预设的一样。
10.仿真结果正确后设置实验箱芯片,点击“MAX+PLUS”中 Floorplaneditor, 将输入管脚 A,B,C 和输出管脚 F 拖到芯片管脚中的 I/O 管脚中
5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有 错误。出现 warning 时有时可以忽略不计,但出现错误一定要及时修改直至无错 误出现。
6.选择“max+plus”菜单中“waveformeditor”,将设置输入、输出管脚的初值 和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。
四、实验内容与要求
用硬件描述语言的方法设计一个 D 触发器,要求: (1)实现异步复位/置位、上升沿触发的 D 触发器,并进行仿真; (2)实现同步复位/置位、下降沿触发的 D 触发器,并进行仿真; 五、实验步骤
1.打开 MAX+PLUS 软件,点击“file”中“new”建立“textedtiorfile”文档并 输入该实验的程序。
一、实验目的
1.掌握 IF 语句和 CASE 语句的使用方法。 2.掌握用硬件描述语言设计三-八译码器的方法。
二、实验器材
1.台式计算机 1 台。 2.可编程逻辑逻辑器件实验软件 1 套。 3.下载电缆一套。 4.示波器一台。
三、实验说明
1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平 台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理 图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为 了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线 接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。
三、实验说明
1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平 台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理 图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为 了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线 接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。
3.在“project”中设置“setprojecttocurrentfile”把文档设置在工程下,否 则会在时出现错误。
4.选择“Assign”菜单中“Device”项,弹出 Device 对话框,在 Device 对话框 中选择实验箱上的芯片型号。注意如果芯片型号选择错误,后面的过程一定会出 现错误。
三、实验说明
1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平 台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理 图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为 了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线 接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。
硬件描述语言及器件 实验指导书
电子科学与技术专业组
第1章 实验一用硬件描述语言的方法设计一个三输入与门电 路
一、实验目的
1、掌握 MAX+plusII 的使用方法。 2、掌握 VHDL 语言程序的基本结构。 2、掌握使用硬件描述语言设计数字电路的方法和步骤。 3、掌握用硬件描述语言设计三输入与门电路的方法。 二、实验器材
1.台式计算机 1 台。 2.可编程逻辑逻辑器件实验软件 1 套。 3.下载电缆一套。 4.示波器一台。
三、实验说明
1.台式计算机用于向可编程逻辑逻辑器件实验软件提供编程、仿真、下载的平 台,供用户使用。 2.可编程逻辑逻辑器件实验软件向原理图的设计提供平台,并将调试好的原理 图下载到可编程逻辑逻辑器件中。 3.下载电缆是可编程逻辑器件软件和可编程逻辑逻辑器件之间的接口电缆,为 了便于区别,用不同颜色导线区分下载电缆的电源、地和信号,一般用红色导线 接电源,用黑色导线接地。 4.示波器用于观察可编程逻辑器件执行程序时输出信号的变化。 四、实验内容与要求 用硬件描述语言的方法设计一个三输入与门电路,要求: (1)根据三输入与门的逻辑表达式,在构造体中直接使用并行赋值语句实现, 并进行仿真;
11.点击“MAX+PLUS”中 programmer,在 hardwaretype 中选择 byteblaster (mv),parallelport 选择 LPT1 进行下载。其他方式都会出现错误。
六、实验报告要求
1、整理实验结果,填写实验报告。 2、小结实验心得体会。
第2章 实验二用硬件描述语言的方法设计一个三-八译码器
六、实验报告要求
1、整理实验结果,填写实验报告。 2、小结实验心得体会。
第3章 实验三用硬件描述语言的方法设计一个 D 触发器
一、实验目的
1、掌握同步复位和异步复位的实现方法。 2、掌握用硬件描述语言表示上升沿和下降沿的方法。 3、掌握用硬件描述语言设计 D 触发器的方法。 二、实验器材
1.台式计算机 1 台。 2.可编程逻辑逻辑器件实验软件 1 套。 3.下载电缆一套。 4.示波器一台。
5.选择“max+plus”菜单中“complier”对实验程序进行编译,检查程序是否有 错误。出现 warning 时有时可以忽略不计,但出现错误一定要及时修改直至无错 误出现。
6.选择“max+plus”菜单中“waveformeditor”,将设置输入、输出管脚的初值 和其周期,观察结果是否符合实验要求,如不符合要修改程序来满足实验要求。
相关文档
最新文档