五人多数表决器VHDL
proteus五人表决器仿真
proteus五人表决器仿真以Proteus五人表决器仿真为标题,我们来探讨一下Proteus软件在五人表决器仿真中的应用。
Proteus是一款电子电路仿真软件,可以用于设计、仿真和验证电子电路。
在五人表决器中,Proteus的仿真功能可以帮助我们模拟五人的投票过程,以便更好地理解和分析表决器的工作原理。
在五人表决器中,每个人有两种选择,即支持或反对某个提案。
为了实现这个表决过程,我们可以使用Proteus的开关元件来代表每个人的选择。
开关元件有两个状态,可以表示支持或反对。
我们需要设计一个简单的电路来模拟五人的投票过程。
我们可以使用Proteus提供的开关元件和LED灯来完成这个电路设计。
开关元件用于输入每个人的选择,LED灯用于显示表决结果。
接下来,我们需要设置逻辑门来处理五个人的选择。
逻辑门可以根据输入的开关状态来计算表决结果。
在这个例子中,我们可以使用AND门和OR门来实现。
AND门用于计算支持的人数,OR门用于计算反对的人数。
在Proteus中,我们可以选择不同的逻辑门来实现五人表决器。
例如,我们可以使用74LS08芯片作为AND门,使用74LS32芯片作为OR门。
这些芯片在Proteus库中都有提供,我们只需要把它们拖放到电路面板上即可。
完成电路设计后,我们可以对其进行仿真。
在Proteus中,我们可以设置开关元件的状态,并观察LED灯的亮灭情况来判断表决结果。
通过反复调整开关元件的状态,我们可以模拟不同的投票情况,从而得出不同的表决结果。
通过Proteus的仿真功能,我们可以快速、准确地模拟五人表决器的工作过程,从而更好地理解和分析其原理。
同时,Proteus还可以帮助我们分析不同投票结果对最终决策的影响,以及调整不同人的投票选择对表决结果的影响。
Proteus是一款功能强大的电子电路仿真软件,在五人表决器仿真中有着广泛的应用。
通过使用Proteus,我们可以方便地设计、仿真和验证五人表决器的工作原理,从而更好地理解和分析其运行机制。
五人多数表决器VHDL
五人多数表决器的VHDL设计1 设计要求(1)五人多数表决逻辑:多数通过;(2 )在主持人控制下,10秒内表决有效;(3)设主持人控制键,复位键:控制键:启动表决;复位键:系统复位。
2 设计说明在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。
每来一个脉冲计数器就减少1。
一直这样下去,直到计数器变为0。
计数器为0时投票无效。
最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。
在主持人按下复位键时,计数回到10,重新进行减法计数器。
直到为0。
3 设计结果3.1 电路原理图图1 原理图3.2 信号表voter:一维数组voter用来表示五位表决者;pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);total:表决通过的人数;count:用来显示倒计时;reset:主持人复位键,用来系统复位;start:主持人控制键,用来启动表决;clk:系统时钟;图2 信号图3.3 仿真结果当处于复位状态时,外界的输入对结果没有影响。
故时间仍为10秒,输出统计人数为0。
仿真波形如图3所示。
图3 复位时的模拟结果在非复位状态下,主持人按下开始键。
表决开始。
在没有人投票的情况下。
时间变为0。
表决结束。
仿真波形如图4所示。
图4 无人赞成时的模拟结果在非复位状态下,主持人按下开始键。
表决开始。
当超出表决时间时才进行表决,此表决无效。
仿真波形如图5所示。
图5 规定时间外的模拟结果在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有两人赞同,仿真波形如图6所示。
图6 两人赞成时的结果在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有三人赞同,仿真波形如图7所示。
图7 三人赞成时的结果在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有四人赞同,仿真波形如图8所示。
图7 四人赞成时的结果该实验是一个具有计时功能的五人表决器,时间限制为10秒,主持人控制复位,开始。
武汉理工大学华夏学院EDA复习题答案
1、IEEE标准的硬件描述语言是Verilog HDL和VHDL共同点是:能够形式化地抽象表示电路的行为和结构;支持逻辑设计中层次与范围的描述:可借用高级语言的精巧结构来简化电路行为的描述:具有电路仿真与验证机制以保证设计的正确性;支持电路描述由高层到低层的综合转换;硬件描述与实现工艺无关;便于文档管理;易于理解和设计重用。
不同点:Verilog HDL是一种非常容易掌握的硬件描述语言,而VHDL掌握起来就比较困难。
2、把功能经过验证的、可综合的、实现后电路结构总门数在5000门以上的Verilog HDL模型称之为“软核”。
把在某一种现场可编程门阵列(FPGA)器件上实现的、经验证是正确的、总门数在5000门以上电路结构编码文件称为“固核”。
把在某一种专用集成电路工艺的(ASIC)器件上实现的、经验证是正确的、总门数在5000门以上电路结构版图掩膜称为“硬核”。
3、什么叫综合?通过综合产生的是什么?通过综合工具把行为级描述的模块通过逻辑网表自动转化为门级形式的模块叫综合。
综合由EDA工具来完成。
产生的是由与门,或门和非门组成的加法器,比较器等组合逻辑。
产生的模块很容易与某种工艺的基本元件逐一对应起来,再通过布局布线工具自动地转变为某种工具工艺的电路布线结构。
4、仿真可以在几层面上进行?每个层面的仿真有什么意义?分别为:前仿真,逻辑网表仿真,门级仿真和布线后仿真。
前仿真,逻辑网表仿真,门级仿真:可以调试和验证逻辑系统的设计和结构准确与否,并发现问题及时修改。
布线后仿真:分析设计的电路模块的运行是否正常。
5、模块由描述接口和描述逻辑功能两部分组成。
6、端口分为三种:输入口、输出口、输入/输出口。
7、reg型和wire型变量的差别是什么?reg型变量是寄存器型变量,wire型变量是连线型变量。
两者根本性的差别在于reg型变过量有个寄存器来存放变量,这个值只有变量发生改变时才会改变否则保证原来的值不变,wire型变量的值不是确定的值。
五人人表决器数字电路
Guangxi University of Science andTechnology数字电子技术基础课程设计课程名称:数字电子技术课题名称: 5人表决器姓名:庞兴文学号: 201200402009院系:计算机学院通信工程专业专业班级:通信121指导教师:张雅兰完成日期: 2014年7月10日目录一.设计任务 (3)二.设计要求 (3)三.设计目的 (3)四.设计思路 (4)五.设计过程 (4)六.设计体会 (10)一、设计任务①设计一个五人表决器。
②通过灯亮来代表表决是否通过二、设计要求①学习使用八选一数据选择器芯片74LS151进行控制。
②当有三个或三个以上的人同意则通过,少于三个同意则被否决。
③用红灯表示五人中亮灯的情况,用绿灯亮表示通过。
三、设计目的本课程设计是在前导验证性认知实验基础上,进行更高层次的命题设计实验,要求学生在教师指导下独立查阅资料、设计、安装和调试特定功能的电子电路。
培养学生利用模拟、数字电路知识,解决电子线路中常见实际问题的能力,使学生积累实际电子制作经验,目的在于巩固基础、注重设计、培养技能、追求创新、走向实用用已学过的知识和对数字电子技术的基本理论,基本概念,基本方法和单元电路,逻辑部件的深入认识,而拓宽思路,扩大视野,进一步巩固,扩充所学知识,提高分析问题和解决问题的能力。
(1)熟悉集成电路的引脚及安排。
(2)掌握各芯片的逻辑功能及控制方法。
(3)学习multisim11.0仿真。
(4)学习Altium designer软件四、设计思路(1)设计表决工作电路(2)设计不同开关控制数据选择器(3)设计输出显示电路五、设计过程5.1、方案论证图5.1 五人表决器的结构框图1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。
评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。
2. 对逻辑电位进行数据选择。
3. 对选择后芯片的输出进行显示。
5.2、系统原理五人表决器,只要赞成人数大于或等于三,则表决通过。
五人表决器的设计
《数字与逻辑电路基础》课程设计--- 五人表决器的设计姓名:学号:2015学院:且动任课教师:冯录 (2)引言 (3)摘要...................................... 错.. 误! 未定义书签。
实验设计原理............................. 错. 误! 未定义书签。
实验步骤.................................. 错.. 误! 未定义书签。
真值表.................................................. 4 .卡诺图.................................................. 5 .电路图.................................................. 7 . Multisim 仿真截图 ........................................... 8... 电路设计总结.............................................. 8...引言:现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。
而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否的结果。
摘要:74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。
由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分区工作。
实验设计原理分析:先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。
最后用Multisim 进行仿真实验。
proteus五人表决器仿真
Proteus五人表决器仿真1. 简介在现代社会中,民主决策和多数决策已成为一种常见的决策方式。
为了更方便和高效地进行集体决策,设计和开发一种能够模拟多人表决过程的仿真器是非常重要的。
Proteus五人表决器仿真正是为了满足这一需求而开发的一款软件。
2. Proteus五人表决器的原理Proteus五人表决器仿真基于五人表决的原理进行工作。
五人表决是一种常见的决策方式,即在一个团队或组织中,每个成员被赋予一个平等的表决权,根据多数的意见来做出最终的决策。
Proteus五人表决器仿真通过模拟五个虚拟成员的参与和表决过程来实现多人表决。
每位虚拟成员都会被赋予一个随机生成的身份和意见,他们会按照一定的规则进行表决。
通过模拟多次表决和统计每次表决的结果,Proteus五人表决器能够帮助用户更好地理解多人表决的过程和结果。
3. Proteus五人表决器的功能Proteus五人表决器仿真具有以下功能:3.1 设置虚拟成员用户可以根据需要设置虚拟成员的数量和身份。
Proteus五人表决器可以支持更多或更少的虚拟成员,让用户能够更好地模拟实际情况。
3.2 设定表决规则在进行仿真之前,用户可以设定表决规则,例如是否允许弃权、是否允许平局等。
这些规则将影响到最终的决策结果。
3.3 进行多次表决Proteus五人表决器可以进行多次表决,并记录每次表决的结果和统计信息。
用户可以根据需要设置表决次数,这有助于对多人表决过程和结果的分析和理解。
3.4 查看汇总统计Proteus五人表决器可以生成汇总统计信息,包括每位虚拟成员的表决情况和整体的表决结果。
用户可以根据这些统计信息来评估多人表决的效果和影响。
4. Proteus五人表决器的应用场景Proteus五人表决器仿真可以广泛应用于各种集体决策场景,例如:4.1 公司董事会决策在公司董事会会议中,通常需要对某些决策进行表决。
Proteus五人表决器可以帮助董事会成员更好地理解每个决策的支持程度和反对程度,从而做出更明智的决策。
5人表决器,交通灯,九九乘法表EDA实验报告
一五人表决器1.原理图2.BJ5程序MODULE BJ5A,B,C,D,E PIN;F PIN ISTYPE 'COM';EQUATIONSF=A&B&C#A&B&D#A&B&E#A&C&D#A&C&E#A&D&E#B&C&D#B&C&E#B&D&E#C &D&E;END3.测试程序MODULE voteA,B,C,D,E,F PIN;X=.X.;TEST_VECTORS([A,B,C,D,E]->[F])[1,1,1,1,1]->[X];[1,1,0,1,1]->[X];[1,1,0,0,1]->[X];[1,1,0,0,0]->[X];[0,0,0,0,1]->[X];[0,0,0,0,0]->[X];END4仿真图形二九九乘法表1.原理图2.MULT程序MODULE MULTA0,A1,A2,A3,B0,B1,B2,B3 PIN;S0,S1,S2,S3,S4,S5,S6 PIN ISTYPE 'COM'; S=[S6..S0];P3=[0,0,0,A3*B0,A2*B0,A1*B0,A0*B0]; P2=[0,0,A3*B1,A2*B1,A1*B1,A0*B1,0]; P1=[0,A3*B2,A2*B2,A1*B2,A0*B2,0,0]; P0=[A3*B3,A2*B3,A1*B3,A0*B3,0,0,0]; EQUATIONS S=P3+P2+P1+P0; END3.TRAN程序MODULE TRANA0,A1,A2,A3,A4,A5,A6 PIN; Q7..Q0 PIN ISTYPE 'COM';A=[0,A6..A0]; Q=[Q7..Q0];EQUATIONSWHEN (A>=0)&(A<=9) THEN Q=A;WHEN (A>=10)&(A<=19) THEN Q=A+6;WHEN (A>=20)&(A<=29) THEN Q=A+12;WHEN (A>=30)&(A<=39) THEN Q=A+18;WHEN (A>=40)&(A<=49) THEN Q=A+24;WHEN (A>=50)&(A<=59) THEN Q=A+30;WHEN (A>=60)&(A<=69) THEN Q=A+36;WHEN (A>=70)&(A<=79) THEN Q=A+42;WHEN (A>=80)&(A<=89) THEN Q=A+48;END4.测试程序MODULE mulA3..A0,B3..B0,C3..C0,BC3..BC0,J7..J0 PIN; X=.X.;A=[A3..A0]; B=[B3..B0]; J=[J7..J0];TEST_VECTORS ([A,B]->[J]) [1,1]->[X]; [1,4]->[X]; [7,6]->[X]; [5,5]->[X]; [3,4]->[X]; [8,6]->[X]; END 5.仿真图形三交通灯1.原理图2.SUB45程序MODULE SUB45CP,R PIN;Q5..Q0 PIN ISTYPE 'REG';EN PIN; Q=[Q5..Q0];EQUATIONSQ.CLK=CP; Q.AR=R;WHEN (Q>0)&(Q<=44)&(EN==1)&(R==0) THEN {Q:=Q-1;} WHEN (Q==0)&(EN==1)&(R==0) THEN {Q:=44;} WHEN EN==0 THEN Q:=Q;END3.SUB90程序MODULE SUB90CP,R PIN;Q6..Q0 PIN ISTYPE 'REG';EN PIN; Q=[Q6..Q0];EQUATIONSQ.CLK=CP; Q.AR=R;WHEN (Q>0)&(Q<=89)&(EN==1)&!R THEN {Q:=Q-1;} WHEN (Q==0)&(EN==1)&!R THEN {Q:=89;} WHEN EN==0 THEN Q:=Q;END4.JIAOTONGDENG程序MODULE jiaotongdengA7..A0 PIN;Q7..Q0 PIN ISTYPE 'COM';A=[0,0,A5..A0];Q=[Q7..Q0];EQUATIONSWHEN (A>=0)&(A<=9) THEN Q=A; WHEN (A>=10)&(A<=19) THEN Q=A+6; WHEN (A>=20)&(A<=29) THEN Q=A+12; WHEN (A>=30)&(A<=39) THEN Q=A+18; WHEN (A>=40)&(A<=49) THEN Q=A+24; WHEN (A>=50)&(A<=59) THEN Q=A+30; WHEN (A>=60)&(A<=69) THEN Q=A+36; WHEN (A>=70)&(A<=79) THEN Q=A+42; WHEN (A>=80)&(A<=89) THEN Q=A+48; WHEN (A>=90)&(A<=99) THEN Q=A+54;END5.JIAOTONGDENG1程序MODULE jiaotongdeng1A6..A0,S PIN;R1,G1,Y1,R2,G2,Y2 PIN ISTYPE 'COM';A=[A6..A0];Y=[R1,G1,Y1,R2,G2,Y2]; EQUATIONSWHEN !S THEN Y=36;WHEN S&(A>=50)&(A<=89) THEN Y=34; WHEN S&(A>=45)&(A<=49) THEN Y=33; WHEN S&(A>=5)&(A<=44) THEN Y=20; WHEN S&(A>=0)&(A<=4) THEN Y=12; END6.测试程序MODULE jtdC,X=.C.,.X.; CP,S,R PIN;Q7..Q0 PIN;R1,Y1,G1,R2,Y2,G2 PIN;OUT=[Q7..Q0];LUMP=[R1,G1,Y1,R2,G2,Y2]; TEST_VECTORS([CP,S,R]->[OUT,LUMP])@REPEAT 120 {[C,1,0]->[X,X];} @REPEAT 120 {[C,0,1]->[X,X];} @REPEAT 120 {[C,1,0]->[X,X];} @REPEAT 120 {[C,1,1]->[X,X];} END7.仿真波形。
五人比表决器
五人比表决器
五人表决器
老师给的题目要求:三票或以上的同意可以通过。
由于老师没有具体要求用什么方式来实现这个功能.所以我想到了两种方法来实现这个题目的功能.
第一种是用与非门来实现:原理图如下
这个是用单个三输入端与非门和一个十输入端与非门来实现,由于淘宝只有集成三输入端与非门和四输入端与非门.所以如果按照上面这个原理图画出来但是却找不到实物器件,也不能实现所以我画了一个基于实物的原理图,如下:
其中器件的引脚图和功能表如下: 74ls10
74ls20引脚图:
第二种实现方式使用两个4线16线译码器来实现
注:U3为74LS00即二输入端与非门
用译码器实现的真值表为Y=(7111314151921222325262728 293031)
74HC154引脚图:(自己百度查就看见了)
下面附带各一份Altium Designer的原理图。
五人表决器_九九乘法表__交通灯(EDA报告)讲述
实验一五人表决器的设计一、实验目的1、了解和初步掌握ISPlever软件的基本操作方法以及电子线路的程序编写abel语言的编写。
2、通过实验,加深电路设计的概念以及了解计算机辅助设计分析的过程3、培养学生的创新能力以及理论知识的应用能力。
二、实验内容及步骤本实验要求利用ISPLEVER软件完成对五人表决器的设计及仿真,表决规则是,多数胜少数。
分析题意,我们可以知道此次仿真应有五个输入端口,一个输出端口。
分别设置其A,B,C,D,E为输入端口,F为输出端口。
故分析可知其真值表如下所示:图1:无人表决器真值表可分析:无人中任意三人通过则表决可以通过,故得到其逻辑表达式为F=ABC+ABD+ABE+ACD+ACE+ADE+BCD+BCE+BDE+CDE实验步骤:1、打开ISPLEVER软件,新建一个项目,并命名为Untitled.syn。
2、在新建立的项目的基础上新建一个原理图文件,并为之命名为biaojueqi.sch。
用软件绘制原理图如下所示:图2:五人表决器原理图3、在顶层原理图的基础上,为模块编写ABEL语言程序,原理图中建立了WTF模块,新建立一个程序文件wtf.abl。
编写此项目的仿真文件程序biaojueqi.abv得到:对此项目文件进行仿真,得到仿真结果如图:图3:实验结果仿真分析三、实验结果分析本次实验设计的是五人表决器,要求A,B,C,D,E五个输入中只要有三个以上为1,那么实验的输出即为1。
通过分析,我们得到了表决器输出的逻辑表达式,然后根据表达式完成了VOTE项目的设计,项目设计后仿真得到,A,B,C,D,E全为1时则全票通过;A,B,D,E为1,C为0时F为1;A,B,E 为1,C,D为0时F为1;A,B为1,C,D,E为0时F为0;A,B,C,D为0,E 为1时输出F为0;当A,B,C,D,E全为0时,则F=0;经过TEST文件来对程序检测,发现设计基本可以完成表决任务。
四、实验小结作为EDA实验的第一个实验,在完成的过程中仍存在着操作不娴熟,操作失误等问题。
五人投票机系统设计
《可编程逻辑控制器》课程设计任务书——供10级电气工程及其自动化、电气工程与自动化、自动化专业学生用引言:《可编程逻辑控制器》课程设计是该课程的一个重要教学环节,既有别于毕业设计,又不同于课堂教学。
它需要学生统筹运用所学基本理论、基本方法对现实生活中的实际系统进行设计和调试。
一、设计题目二:五人投票机系统设计本设计要求熟练使用西门子公司的S7-200系列产品的指令系统,根据控制要求进行PLC梯形图编程,设计一个六人投票机系统。
二、系统工作过程说明如下图所示,为一个设计五人投票机系统模拟图,即五人对对象进行投票,开关接通,表示赞成,开关打开表示反对,若反对人数较多,则表示没通过;若赞成人数较多,则表示通过。
图1 五人投票机设计要求:1、按下“启动”开关,开始计时,必须在5s的时间内完成投票,赞成则按下投票按钮,指示灯亮,表示投票成功;不赞成则不按投票按钮。
2、5s后投票停止后,存储器中的结果为最终结果。
将结果进行比较,并显示投票结果。
投票结果用三个灯(Y0,Y1)显示,Y0表示通过,Y0闪烁表示全票通过,Y1表示没通过。
3、当投票结果显示后,就不再接受任何信息,即使再投票,仍然不会改变其结果。
4、按下复位开关,所有的投票等立即熄灭,结果清零,可进行再次开始投票。
5、主持人按下停止按钮,系统停止工作。
三、设计步骤:(1)对系统进行需求分析,确定I/O点数,分配I/O地址;(2)画出PLC硬件接线图;(3)绘制PLC梯形图;(4)系统上机调试。
目录《可编程逻辑控制器》课程设计任务书 (1)一、设计题目二:五人投票机系统设计 (1)二、系统工作过程说明 (1)三、设计步骤: (2)摘要 (4)一、绪论 (5)1、设计目的 (5)2、设计内容 (5)3、要实现的目标 (5)二、系统分析及硬件设计 (5)1、系统工作说明 (6)2、系统I/O分配 (6)3、PLC外围接线 (7)三、系统软件设计 (8)1、系统流程图 (8)2、系统程序设计 (9)四、系统调试 (11)五、总结 (14)六、参考文献 (15)七、附录 (16)1、语句表 (16)2、其他图表 (18)摘要本设计需要统筹运用所学基本理论、基本方法对现实生活中的实际系统进行设计和调试。
五人表决器的设计
数字电路课程设计报告书课题名称 五人表决器的设计姓 名 学 号院、系、部 物理与电信工程系 专 业 电子信息工程指导教师2008年 07 月06日※※※※※※※※※ ※※ ※※ ※※2006级学生数字电路 课程设计五人表决器的设计1 设计目的(1)熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解面包板结构及其接线方法。
(4)了解五人表决器的组成及工作原理。
(5)熟悉五人表决器的设计与制作。
(6)熟悉 EWB 软件的运用,用该软件仿真结果。
2 设计思路(1)设计表决器工作电路。
(2)设计输入电路。
(3)设计清零电路。
(4)设计输出显示电路。
3 设计过程3.1方案论证数字表决器总体方框图如图1所示。
图1 五人表决器框图1.表决时,评委根据自己意愿投票,选择按键,即输入逻辑电位。
2.对逻辑电位进行译码。
3.对译码后芯片的输出再进行逻辑运算。
4.将运算结果通过指示灯输出,显示表决结果。
3.2电路设计五人表决器电路如图2所示。
图2 工作原理接线图该电路完成三个功能:一是通过清零端由主持人控制电路工作状态,明确表决器是否在工作;二是通过输入电路输入各评委的投票结果;三是通过输出电路输出并显示投票结果。
工作过程:由主持人控制的开关S打到低电平时,通过与门,输出全部为低电平,则所有灯泡都熄灭,不显示任何投票结果,表决器处于不工作状态。
当主持人宣布投票开始后,各评委开始投票。
根据电路输入端可知,输入为高电平有效,若评委同意该选手晋级,则调拨开关,使之打到高电平,输入就为高电平;若评委不同意该选手晋级,则调拨开关,使之打到低电平,输入就为低电平。
而该表决器工作电路是通过用74HC139 2-4线译码器与74HC138 3-8线译码器发生其功能的,输入各评委的投票结果,使之成为有效二进制数,再通过芯片作用输出,且输出为低电平有效。
但是灯泡是在高电平时才亮,故输出端用与非门输出,使之输出最终为高电平,使灯泡能亮,显示投票结果。
EDA五人表决器
实验七:五人表决器的设计实验姓名:学号:同组成员:一.实验目的:熟悉QII软件使用;练习数字电路的完整设计过程。
二.实验要求:五人表决器,即五人参与表决,超过半数投赞成票(三人或三人以上按1),表决通过是;否则不通过。
三.实验步骤:简要记录实验步骤即可。
1、列出真值表2、创建新设计项目3、创建HDL源文件4、仿真5、管脚锁定6、下载7、电路功能验证四.实验结果:1. 写出设计过程(真值表、简化结果)2. 实验结果(包括RTL电路图和波形仿真结果)3. 程序代码5人表决器真值表library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity biao isport(a:in std_logic_vector(4 downto 0);Q: out std_logic);end biao;architecture arch of biao isbeginprocess(a)begincase a iswhen "00000"=> Q <= '0';when "00001"=> Q <= '0';when "00010"=> Q <= '0'; when "00011"=> Q <= '0'; when "00100"=> Q <= '0'; when "00101"=> Q <= '0'; when "00110"=> Q <= '0'; when "00111"=> Q <= '1'; when "01000"=> Q <= '0'; when "01001"=> Q <= '0'; when "01010"=> Q <= '0'; when "01011"=> Q <= '1'; when "01100"=> Q <= '0'; when "01101"=> Q <= '1'; when "01110"=> Q <= '1'; when "01111"=> Q <= '1'; when "10000"=> Q <= '0'; when "10001"=> Q <= '0'; when "10010"=> Q <= '0'; when "10011"=> Q <= '1'; when "10100"=> Q <= '0'; when "10101"=> Q <= '1';when "10110"=> Q <= '1';when "10111"=> Q <= '1';when "11000"=> Q <= '0';when "11001"=> Q <= '1';when "11010"=> Q <= '1';when "11011"=> Q <= '1';when "11100"=> Q <= '1';when "11101"=> Q <= '1';when "11110"=> Q <= '1';when "11111"=> Q <= '1';end case;end process;end arch;五、实验心得记录实验过程中碰到的问题,最终的解决办法;或者是本次实验给你带来的收获;或者是对实验课程的意见和建议。
单片机课程设计报告(五人表决器)
目录一、方案设计 (2)二、硬件电路设计 (2)三、软件设计说明 (6)四、程序清单 (7)五、制作调试说明 (12)六、操作使用说明 (13)七、总结 (13)单片机课程设计一、方案设计1、设计题目:5人表决器2、设计要求:1)、可供5—7个人进行表决,每个人有一个“同意”和一个“反对”按键,故一个人需要两个按键,表决时两个键先按下的一个有效,同时按下则表示无效,每次表决每个按键只能是第一次按下的有效,多按无效,用单片机设计表决器是硬件电路与软件设计相结合的一种设计,因此在硬件电路只能实现按键的按下与释放,不能智能的实现检测按键被按下几次,则可用软件设计来实现其功能。
2)会议主持人可利用按键控制表决的开始与结束,点亮黄灯用于显示表决开始,熄灭黄灯表示结束,并将表决结果用红灯与绿灯显示。
当不相等时,“同意”多于“反对”点亮绿灯,“同意”少于“反对”点亮红灯。
3)在实现上述功能的基础上增加“同意”数和“反对”数的显示。
二、硬件电路设计1、硬件设计思路:此次设计的题目是5人表决器,分析得设计的硬件电路选用P1口与P2口作为同意与反对按键的输入端。
又表决的开始与结束是由主持人来控制的,因此需要两个按键来表示表决的开始与结束,因此可采用外部中断0与外部中断1来控制表决的开始于结束。
故设计总共需要按键12个。
设计要求中需要四盏灯,分变为一个黄灯,一个绿灯和二个红灯,三盏灯用于输出显示,因此也可以征用单片机的I/O口,由于P1口与P2口作为同意与反对按键的输入端且P0口当做输出端口时可以不用接上拉电阻,因此可将三个显示灯接在P0口用于显示表决的结果。
还有一盏红灯用来做电源指示灯。
2、元器件参数确定:设计要求中需要三盏灯(黄灯,绿灯和红灯)用于输出显示,选用P0口的3个端口与显示灯相连接用于输出,由于输出端口输出的高电平一般为5V,而发光二极管允许的最大电流为5mA,因此需要用到限流电阻,发光二极管可降2V的电压,则限流电阻上的压降为3V,那么限流电阻的最小阻值为R=3V/5mA=600欧姆,由于输出的高电平不是5V,故用510欧姆的限流电阻。
5人表决器实验报告
课程设计课程设计名称:EDA课程设计专业班级:电子信息科学与技术学生姓名: *学号: *指导教师: *课程设计时间:2013-2-25~2013-3-8电子信息科学与技术专业课程设计任务书学生姓名?专业班级? 学号? 题目五人表决器课题性质工程设计课题来源自拟课题指导教师? 同组姓名无主要内容(1)学习使用EDA开发工具MAX+plusII。
(2)学习使用VHDL语言设计五人表决器。
(3)熟悉五人表决的原理。
(4)对整个系统进行编译、综合、仿真和验证;任务要求(1)根据设计题目要求编写相应程序代码。
(2)对编写的VHDL程序代码进行编译和仿真。
(3)利用实验箱完成硬件验证。
(4)总结设计内容,完成课程设计说明书。
参考文献[1] 焦素敏.EDA课程设计指导书.郑州:河南工业大学,2008[2] 潘松,黄继业.EDA技术实用教程.北京:科学出版社,2002[3] 王国栋,潘松等.VHDL实用教程.成都:电子科技大学出版社,2000[4] 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,2003[5]/中国电子制作网网站审查意见指导教师签字:教研室主任签字:年月日1 设计任务及要求(1)按所布置的题目要求,独立完成全过程;(2)分模块层次化设计;(3)功能模块的底层文件必须用VHDL语言设计,顶层文件可用VHDL语言设计,也可以用原理图设计;(4)对整个系统进行编译、综合、仿真和验证;(5)在CPLD/FPGA实验开发系统试验箱上进行硬件验证。
2设计原理及总体框图表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
本设计就是利用芯片ACEX1K系列的EP1K30TC144-3,拨挡开关模块和LED 模块来实现一个简单的五人表决器的功能。
拨挡开关模块中的键4~键8表示五个人,由5个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于3人时,则认为不同意。
数电课程设计-五人表决器设计知识讲解
数电部分五人表决器设计一、设计任务与要求1.设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。
2.使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。
用绿灯亮表通过,红灯亮表示否决。
3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。
二、方案设计与论证当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。
评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。
对逻辑电位进行译码,对译码后芯片的输出进行显示。
对于五人表决器,首先设五人分别为A B C D E ,设Y为表决的结果。
其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (绿灯用“1”表示),红灯亮(红灯用“0”表示)。
五人表决器真值表如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。
三、单元电路设计与参数计算芯片74HC138,是典型的集成译码器。
它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。
此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。
74HC138集成译码器功能表U774HC138D_2V Y015Y114Y213Y312Y411Y510Y69Y77A 1B 2C 3G16~G2A 4~G2B574HC138外围引脚分布图芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。
74HC139 2-4线译码器真值表U6A74HC139DW_2V 1Y041Y151Y261Y371A 21B 3~1G174HC139 外围引脚分布图74LS161计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。
5人多数表决电路设计论文
5人多数表决电路设计一、设计思想通过上学期学习的《数字电子技术基础简明教程》的学习,逐步认识了解74系列芯片的用法。
本设计实验通过对逻辑门电路的进一步巩固与发展,根据老师上课的要求和指导,以及我们实验课上对Multisim软件的初步使用,设计了一个简单的5人多数表决电路。
本门EDA课是属于实践性教学,这不仅能加深学生对所学《数字电子技术》理论知识的理解,同时也能提高我们的综合素质,培养我们的创新精神、实践能力和创新能力。
同时,我们这次的也是设计性实验,所谓设计性实验就是指给定实验目的、要求和实验条件,由我们学生自行设计实验方案、选择相关芯片或器件实现的实验。
设计性实验能激发学生学习的主动性和创新意识,培养学生独立思考、综合运用知识、分析和解决复杂问题的能力。
本次使用Multisim软件设计实验,一是能提高我们的学习的主动性:在给定的实验题目和技术指标下,我们在老师的指导下自己设计实验方案,自行选择实验器材,制定实验操作步骤和流程,此次试验是我们运用自己对数字电路中逻辑门电路的知识进行分析和探索。
在整个实验过程中,我们都处于主动学习的状态,学习目的明确,独立思维。
二是能提高我们对实验内容的探索性,设计性实验方案的设计与实现过程本身就是一个不断探索的过程,而对实验过程中遇到问题的分析与解决则更离不开探索。
探索性恢复了实验在人们认识自然、探索科学过程中的本来面目,让实验教学真正成为我们学习知识、培养能力的基本方法和有效途径。
三是提高我们方法的多样性。
设计性实验虽然实验目的明确,但实验方案可以灵活选择。
我们往往可以通过不同的途径和方法达到实验目的,从根本上改变了千人一面的传统验证实验教学模式,有利于创新人才的培养,体现以学生为本的教学思想。
EDA课程对我们电子专业有很重要的作用,所以我们必须认真的完成本次的5人表决电路设计。
通过自己对电路的探索和设计,选择自己需要的芯片来完成。
二、实验条件的要求:鉴于设计性实验的探索性以及设计和实现方法的多样性,老师给我们提出的一些特殊的要求:a) 学时要求。
用原理图输入法设计一个5人表决电路,参加表决者5人,同意为1,不同意为0,同
用原理图输入法设计一个5人表决电路,参加表决者5人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过红指示灯亮。
内容提要:在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
本次课程设计的目的是作出一个五人表决器,要求能实现五人表决器的基本功能,意义在于熟悉集成电路的引脚安排;掌握各芯片的逻辑功能及使用方法;了解五人表决器的组成及工作原理;掌握五人表决器的设计与制作方法。
采用了原理图输入法的设计方法,首先根据表决器的功能写出真值表,得出输出的表达式,再根据卡诺图,得到最简的表达式,然后根据学校的元件清单选择所需元件使用DXP2004程序画出原理图,仿真能得到正确的结果后画出PCB封装图,最后制版焊接做成成品。
我们做出来的成品最终能够实现五人表决器的基本功能。
关键词:五人表决器、EDA、VHDL、列写真值表⒈设计目的⑴设计一个五人表决器。
⑵掌握 QuartusII软件的使用方法⑶掌握各芯片的逻辑功能及控制方法。
⑷掌握原理图输入的设计方法和流程。
⑸了解和掌握五人表决器的功能。
⒉设计要求用原理图输入法设计一个5人表决电路,参加表决者5人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过红指示灯亮。
⒊设计思路⑴设计表决工作电路。
⑵设计输出显示电路。
⑶用与或门设计原理图。
⒋实验条件⑴Win7操作系统⑵QuartusII EDA开发系统⑶输入信号x5、与门x10、或门x3、输出信号x1⒌实验过程⑴打开 QuartusII 软件,建立一个新的工程:①单击菜单 File\New Project Wizard②输入工程的路径、工程名以及顶层实体名。
五人表决器设计
一、设计任务及要求:设计任务:①设计一个五人表决器。
②通过两种不同颜色的灯来代表表决是否通过要求:①学习使用芯片74HC138进行控制。
②多数人同意则通过,少数人同意则被否决。
③用红灯亮表通过,绿灯亮表否决。
指导教师签名:**** 年月日二、指导教师评语:指导教师签名:****年月日三、成绩验收盖章**** 年月日五人表决器的设计1 设计目的(1)熟悉集成电路的引脚及安排。
(2)掌握各芯片的逻辑功能及控制方法。
(3)学习multisim11.0仿真。
2设计思路(1)设计表决工作电路(2)设计不同开关控制译码器(3)设计输出显示电路3 设计过程3.1、方案论证按键选择译码显示输出图3.1 五人表决器的结构框图1. 当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。
评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。
2. 对逻辑电位进行译码。
3. 对译码后芯片的输出进行显示。
3.2、系统原理对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。
其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则红灯亮(红灯用“1”表示),绿灯亮(绿灯用“0”表示)。
表3.1 5人表决器真值表A B C D E Y A B C D E Y0 0 0 0 0 0 1 0 0 0 0 00 0 0 0 1 0 1 0 0 0 1 00 0 0 1 0 0 1 0 0 1 0 00 0 0 1 1 0 1 0 0 1 1 10 0 1 0 0 0 1 0 1 0 0 00 0 1 0 1 0 1 0 1 0 1 10 0 1 1 0 0 1 0 1 1 0 10 0 1 1 1 1 1 0 1 1 1 10 1 0 0 0 0 1 1 0 0 0 00 1 0 0 1 0 1 1 0 0 1 10 1 0 1 0 0 1 1 0 1 0 10 1 0 1 1 1 1 1 0 1 1 10 1 1 0 0 0 1 1 1 0 0 10 1 1 0 1 1 1 1 1 0 1 10 1 1 1 0 1 1 1 1 1 0 10 1 1 1 1 1 1 1 1 1 1 1如果红灯亮了,则表示表决通过,若绿灯亮了,表示不通过。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
五人多数表决器的VHDL设计
1 设计要求
(1)五人多数表决逻辑:多数通过;
(2 )在主持人控制下,10秒内表决有效;
(3)设主持人控制键,复位键:
控制键:启动表决;
复位键:系统复位。
2 设计说明
在脉冲作用下,使用减法计数器,在初值为10秒的时候,主持人按控制键启动表决后,开始计时。
每来一个脉冲计数器就减少1。
一直这样下去,直到计数器变为0。
计数器为0时投票无效。
最后统计投票人数通过同意人数决定表决结果,当投票人不小于3人时,投票通过。
在主持人按下复位键时,计数回到10,重新进行减法计数器。
直到为0。
3 设计结果
3.1 电路原理图
图1 原理图
3.2 信号表
voter:一维数组voter用来表示五位表决者;
pass:表决最终是否通过(‘1’为“通过”,‘0’为“未通过”);
total:表决通过的人数;
count:用来显示倒计时;
reset:主持人复位键,用来系统复位;
start:主持人控制键,用来启动表决;
clk:系统时钟;
图2 信号图
3.3 仿真结果
当处于复位状态时,外界的输入对结果没有影响。
故时间仍为10秒,输出统计人数为0。
仿真波形如图3所示。
图3 复位时的模拟结果
在非复位状态下,主持人按下开始键。
表决开始。
在没有人投票的情况下。
时间变为0。
表决结束。
仿真波形如图4所示。
图4 无人赞成时的模拟结果
在非复位状态下,主持人按下开始键。
表决开始。
当超出表决时间时才进行表决,此表决无效。
仿真波形如图5所示。
图5 规定时间外的模拟结果
在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有两人赞同,仿真波形如图6所示。
图6 两人赞成时的结果
在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有三人赞同,仿真波形如图7所示。
图7 三人赞成时的结果
在非复位状态下,主持人按下开始键。
表决开始。
在规定时间内只有四人赞同,仿真波形如图8所示。
图7 四人赞成时的结果
该实验是一个具有计时功能的五人表决器,时间限制为10秒,主持人控制复位,开始。
输出结果为表决通过的人数和最后表决是否通过。
3.4 电路图
图8 电路图
3.5 程序清单
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY vote5 IS
PORT(voter:IN STD_LOGIC_VECTOR(1 TO 5);
pass:OUT BIT;
total:OUT STD_LOGIC_VECTOR(3 DOWNTO 1);
count:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);
reset,start,clk:IN STD_LOGIC);
END vote5;
ARCHITECTURE one OF vote5 IS
BEGIN
PROCESS(voter,clk,start,reset)
VARIABLE suo:BOOLEAN;
VARIABLE sum:STD_LOGIC_VECTOR(1 TO 3);
BEGIN
IF (reset = '0') THEN
pass <= '0';
count <= "1010";
suo := FALSE;
sum := "000";
total <= "000";
ELSIF (clk'EVENT AND clk = '1') THEN IF (start ='1') THEN
IF (NOT suo) THEN
IF count = "0000" THEN
suo := TRUE;
FOR i IN 1 TO 5 LOOP
sum := voter(i) + sum;
END LOOP;
ELSE
count <= count-1;
END IF;
END IF;
total <= sum;
IF (sum >= 3) THEN
pass <= '1';
ELSE
pass <= '0';
END IF;
END IF;
END IF;
END PROCESS;
END one;
4 实验总结
该实验的关键是统计表决通过的人数和时间的限制,即怎样将通过的人数加起来,并且应该在什么时候统计!通过实验知道:应该在倒计时完成之后统计。
因为不管你是在第几秒赞成,但只要你赞成,就意味在倒计时结束时也是赞成的,所以应该在此时统计。
并且在倒计时之外,表决无效。
通过本次设计,我深深的体会到设计课的重要性和目的性。
本次设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,独立自主的进行设计的能力。
它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。
希望学校以后多安排一些类似的实践环节,让同学们学以致用。
在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一定的帮助。
在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。
用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中发挥越来越重要的作用。