CVD Process introdution

合集下载

碳陶复合材料英文专著

碳陶复合材料英文专著

碳陶复合材料英文专著Carbon-Ceramic Composite MaterialsIntroduction:Carbon-ceramic composite materials are a class of advanced materials that exhibit exceptional mechanical properties, high thermal stability, and excellent electrical conductivity. These materials are widely used in various industries, including aerospace, automotive, electronics, and healthcare, due to their unique combination of properties. This book aims to provide a comprehensive overview of carbon-ceramic composite materials, including their synthesis, characterization, properties, and applications.Chapter 1: Introduction to Carbon-Ceramic Composite Materials - Historical background and development of carbon-ceramic composites- Importance and advantages of carbon-ceramic composites- Different types of carbon-ceramic compositesChapter 2: Synthesis Methods- Fabrication techniques for carbon-ceramic composites- Chemical vapor deposition (CVD) process- Polymer-derived ceramics (PDCs) route- Pyrolysis and carbonization methods- Additive manufacturing techniques for carbon-ceramic compositesChapter 3: Characterization Techniques- Microstructural analysis using scanning electron microscopy(SEM) and transmission electron microscopy (TEM)- X-ray diffraction (XRD) and Raman spectroscopy for phase identification and crystal structure analysis- Thermal analysis techniques, such as thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC)- Mechanical testing methods, including tensile, compressive, and flexural strength testsChapter 4: Properties of Carbon-Ceramic Composites- Mechanical properties, such as hardness, toughness, and elastic modulus- Thermal properties, including thermal conductivity and coefficient of thermal expansion- Electrical conductivity and electromagnetic properties- Chemical resistance and corrosion behavior- Wear and friction propertiesChapter 5: Applications of Carbon-Ceramic Composites- Aerospace applications, such as aircraft brakes and thermal protection systems- Automotive applications, including brake discs and clutch plates - Electronics and semiconductor industry applications- Biomedical applications, like orthopedic implants and dental prosthetics- Energy storage and conversion applications, such as fuel cells and batteriesChapter 6: Future Perspectives and Challenges- Emerging trends and future developments in carbon-ceramic composites- Challenges and limitations in the synthesis and processing of these materials- Environmental and sustainability considerations- Potential applications in emerging fields, such as renewable energy and 3D printingConclusion:Carbon-ceramic composites are a fascinating class of materials that possess a wide range of exceptional properties. This book provides a comprehensive overview of the synthesis, characterization, properties, and applications of carbon-ceramic composites, aiming to serve as a valuable reference for researchers, engineers, and students in the field. With increasing interest and advancements in this area, carbon-ceramic composites are expected to find even more extensive applications in the future, contributing to technological advancements in various industries.。

[整顿版]0.18um process introduction[精华]

[整顿版]0.18um process introduction[精华]
2. ZERO PHOTO的目的是什么?WAFTER MARK是 否用光照? ZERO PHOTO是为了在Si上刻出精对准的图形,ASML stepper system requires a zero mark for global alignment purpose。WAFTER MARK不用光照,用LASER刻出 WAFTER的刻号。
AAR Photo
AAR= (AA-0.4)
+?0A.4R) is si-n0g.2le side or double side?
? Single side 0.4
AAR Etch AAR Asher AAR wet strip
AA SiN Pad oxide
P Substrate
Stop on SiN Mattson (Rcp: 1) NPRRM (SPM only)
7
HDP Deposition
STI PadOX PreCln
NCR1DH75ARCAM (100:1 HF 180sec)
STI L在ineSrTOI XHD1P0前00LCI,NDERRY-OOXXI(D20E0的+-作12用A)是什么?
Anneal(Diff)
1100 C, 2 hrs (Furnace ann.) for STI
7:HDP DEP原理?
在CVD的同时,用高密度的PLASMA轰击,防止CVD填充
时洞口过早封死,产生空洞现象,因为有
PLASMA轰
击,所以HDP后要有RTA的步骤。
8:为什么HDP DEP后要有RTA? 9
AA Reverse
9:为什么在STI CMP前要进行AR PHO 和ETCH BACK?
5800Å HDP

CVD_Process_Introduction、看

CVD_Process_Introduction、看
CVD Process IntrD Process Introduction2. CVD Type Classification3. Dielectric Film Characteristic4. CVD film Application-DARC and LowK5. Q & A
1. CVD Process Introduction
Components of DCVD Systems
1. CVD Process Introduction
10
P
P
N
N
IMD(Inter Metal Dielectric)
Passivation:
1. CVD Process Introduction
Schematic diagrams of transport of reacting gaseous species from source tothe substrate surface for (a) surface reaction rate limited reaction, and (b) mass transport limited reaction.
(I):MASS TRANSPORT LIMITEDhigher temperature flux concentrat
(II):SURFACE REACTION RATE LIMIT
lower temperature temperature
1. CVD Process Introduction
4. CVD film Application-DARC
17
4. CVD film Application-DARC
17
4. CVD film Application-DARC

晶圆制造工艺流程和缺陷介绍

晶圆制造工艺流程和缺陷介绍

晶圆制造工艺流程和缺陷介绍Introduction to Semiconductor Wafer Manufacturing Process and DefectsSemiconductor wafer manufacturing process involves several steps to create integrated circuits (ICs) on a wafer. This article will provide an overview of the process flow and common defects encountered during the manufacturing process.1. Wafer Preparation:The process begins with the preparation of the silicon wafer. The wafer is cleaned to remove any impurities and then polished to achieve a flat and smooth surface.2. Photolithography:Photolithography is a crucial step in the manufacturing process.A layer of photoresist is applied to the wafer surface, followed by exposure to ultraviolet light through a photomask. This step defines the circuit patterns on the wafer.3. Etching:Etching is used to remove unwanted material from the wafersurface. Chemical etching or plasma etching techniques are employed to selectively remove the exposed or unmasked areas, leaving behind the desired circuit patterns.4. Deposition:Deposition involves the deposition of various materials onto the wafer surface. This can be done through techniques like physical vapor deposition (PVD) or chemical vapor deposition (CVD). These processes are used to create conductive or insulating layers on the wafer.5. Annealing:Annealing is a heat treatment process used to improve the electrical and structural properties of the wafer. It helps to remove any stress or defects introduced during the previous steps.6. Testing and Packaging:After the fabrication process, the wafers undergo rigorous testing to ensure the functionality and quality of the ICs. Defective chips are marked and discarded. The good chips are then packaged into individual ICs for final use.Common Defects in Semiconductor Wafer Manufacturing:1. Particle Contamination:Particles present on the wafer surface can cause defects during the manufacturing process. These particles can come from various sources, such as the environment or the equipment used in the fabrication process.2. Line Width Variation:Variations in the line width of the circuit patterns can lead to malfunctioning or reduced performance of the ICs. This can be caused by issues in the photolithography or etching steps.3. Oxide Layer Defects:Defects in the oxide layer can result in electrical shorts or leakage currents. These defects can occur due to improper deposition or contamination during the manufacturing process.4. Metal Line Breakage:Metal lines that connect different components on the wafer can break due to stress or improper deposition. This can lead to circuit failures or reduced functionality.Conclusion:Semiconductor wafer manufacturing process is a complex and precise operation. Understanding the process flow and common defects is crucial for ensuring the production of high-quality ICs.中文回答:晶圆制造工艺流程和缺陷介绍半导体晶圆制造工艺包括多个步骤,用于在晶圆上制造集成电路(IC)。

CVD Process introdution

CVD Process introdution


Required properties:
பைடு நூலகம்
USG Prevent B, P of BPSG film from diffusing into active area Won’t degrade hot carrier integration BPTEOS Planarization (>0.5um, non CMP technology) Good gap filling ability, no void between poly lines

Process tools:

USG: PEOX (SiH4) or PEOX (TEOS) BPSG: APCVD BPSG, PECVD BPSG, APCVD BPTEOS, SACVD BPTEOS
8
DCVD Application
The characteristics of BPSG film
3
Why DCVD
1. High purity deposition can be achieved. 2. A great variety of chemical compositions can be deposited. 3. Some films can not be deposited with adequate film properties by any other method. 4. Good economy and process control are possible for many films.
4
DCVD Application
Oxide(un-doped & doped) ; Nitride are the typical dielectric film.

Litho Process Introduction

Litho Process Introduction





O N2
Sensitizer
SO2 R
Sensitizer
Lithograph Machine and Material Introduction
Resist Chemistry
附图:30年前,日本尼康生产的第一台光刻 是NSR 1010 G型光刻机
TI董事长Tom Engibous 在我看来,只有为数不多的人——福特、爱迪生、莱特兄弟和Jack Kilby真正改变 了世界以及我们的生活方式
Outline
Outline
I. II. III. IV. Lithography Technology History and Tomorrow. Lithograph General Introduction. Lithograph Machine and Material Introduction. Theory of optical imaging.
•金属溅射
离子注入
光刻工程是唯一定义图形的工程,因此整个制造工艺中光刻次数 也决定了整个工艺的长度.
Lithograph General Introduction
Final Structure
PASSIVATION METAL3 IMD-2
METAL2
IMD-1 METAL1
HVNMOS PWELL


Based Resin — Poly Hydroxy Styrene (PHS) resins are mostly used as based resin. Solvent — Safer solvent system are required. Other — Dyes, Surfactants, Dissolution enhancer, etc

脑血管疾病临床重点英文版CerebrovascularDisease(CVD)

脑血管疾病临床重点英文版CerebrovascularDisease(CVD)
脑血管疾病临床重点英文版 CerebrovascularDisease(CVD)
Etiology of CVD
Vascular disorder Atherosclerosis Inflammatory disorders (TB,syphilitic arteritis, SLE, etc.) Congenital vascular malformation (aneurysm, AVM ) Lesions of any cause
颈内动脉系统 - internal carotid artery (ICA) S. 眼动脉-ophthalmic artery 后交通动脉-post communicating artery 脉络膜前动脉-anterior choroidal artery 大脑前动脉-anterior cerebral artery (ACA) image/ci-mca-2.jpg-middle cerebral artery (MCA)
脑血管疾病临床重点英文版
Cerebrovascular Disease (CVD)
脑血管疾病临床重点英文版 CerebrovascularDisease(CVD)
Section 1 Introduction
Definition CVD: The term of CVD designates any abnormality of the brain resulting from various pathological process of the blood vessels. 脑血管病是各种脑血管病变引起脑 部疾病的总称。
脑血管疾病临床重点英文版 CerebrovascularDisease(CVD)
Definition Stroke: The stroke is a syndrome characterized by the acute onset of a neurologic deficit that reflects focal/diffused involvement of the CNS and is the result of a disturbance of the cerebral circulation.

第一代第二代第三代多晶硅生产流程的异同点

第一代第二代第三代多晶硅生产流程的异同点

第一代第二代第三代多晶硅生产流程的异同点The first, second, and third generation of polycrystalline silicon production processes have undergone significant advancements over the years. Each generation represents a different stage in the evolution of polysilicon manufacturing techniques. In this response, we will explore the similarities and differences between these three generations.第一代、第二代和第三代多晶硅生产流程在多年的发展中经历了重大改进。

每一代都代表了多晶硅制造技术演变的不同阶段。

在本回答中,我们将探讨这三个阶段之间的异同。

First Generation:The first-generation polycrystalline silicon production process primarily involved the Siemens method, also known as the Siemens-Purified method. This method utilized a chemical vapor deposition (CVD) technique to deposit highly pure silicon onto seed rods under high temperature and pressure conditions. The resulting polysilicon hadrelatively low purity levels.第一代:第一代多晶硅生产过程主要涉及西门子法(也称为西门子纯化法)。

PECVD工艺培训-_英文

PECVD工艺培训-_英文

CMI - lift functions
Lift - important machine component ! ! ! ! ! ! move boat to/from paddle boat buffer (storage2 & storage3) pick up boat from trolley return boat to trolley manual boat handling boat safety
Proc LIBFUNCTION
! ! !
! ! ! !
Gas N2 Volume = 0 T_Paddle All Setpoint = 450 EndProc
! ! !
recipe executed from top (begin) to bottom (end)
collection of commands making programming easier
(wait for tube)
..................
pick up boat from paddle
CMI - centrotherm machine interface
CMI - functions ! ! ! ! ! access to all tube controllers lift control recipe control automatic mode machine safety control status and error display
PECVD process - handling errors
! no boat in grip detected check boat position in grip, correct if necessary ! unexpected change of grip state boat has moved during pick-up, check boat position in grip ! boat collision tilt/swivel switch activated due to incorrect boat position ! arm movement locked move arm to mid position before starting automatic operation ! boat not correct on paddle move lift to storage 3 and select service/recovery/handling. Check boat position and homing if error occurs again ! boat speed out of 2nd tolerance error follow-up if ‘boat not correct on paddle’ occurs

PVDCVD设备介绍

PVDCVD设备介绍
– 統一的操作介面 – 減少機台所佔空間
• 配合各種不同之反應室因應不同製程反應之需求
– Process Chambers – P5000 interface
概說
• Centura
– 應用材料公司1990年代推出的機台設計 – Single wafer,multi-chamber design – 6吋8吋設備共用相同的主機架構 – 提高再現性,可靠度 – 兩個獨立的 loadlock 反應室-可規劃生產流程 – 共用次系統模組化 – 統一的操作介面 – 減少機台所佔空間
PVDCVD设备介绍
路漫漫其悠远
பைடு நூலகம்少壮不努力,老大徒悲伤
課程目的與大綱
• AMAT System – Mainframe+Process Chamber+Remote – Subsystem
• 了解次系統觀念與次系統工作原理與應用 – 廠務設計(facility) – 主機系統輔助設備 – 反應室輔助設備
Safety is always important 安全第一 安全至上
概說
• P5000
– 應用材料公司1980年代中期推出的機台設計 – Single wafer,multi-chamber design – 6吋8吋設備共用相同的主機架構 – 提高再現性,可靠度 – 共用次系統模組化
• For example, ROBOT
• 大綱
– 安全(SAFETY/LOCKOUT TAGOUT) – 概說(Introduction) – 主機平台(MAINFRAME) – 廠務設施設計(System Facilities)
課程目的與大綱
• 電力系統(AC POWER BOX/POWER DISTRIBUTION) • 傳送反應室(Transfer Chamber) • 傳送機制(Wafer Handling) • 晶舟承載(Loadlock Chamber) • 輔助反應室(Auxiliary Chamber) • 系統控制器(SYSTEM CONTROLLER) • 高週波(射頻)產生器(GENERATOR RACK) • 熱交換系統(HEATER EXCHANGER) • 氣體傳送系統(GAS DELIVERY SYSTEM) • 真空系統(VACUUM SYSTEM)

CVD工艺原理及设备介绍(共23张PPT)

CVD工艺原理及设备介绍(共23张PPT)
14Ohms(12~16),Shelf内部为铜,在外表面镀Ni
➢ Body为不锈钢
Copyright BOE Technology Group
PECVD设备 4、Process Chamber Process Chamber控制了在一个玻璃上的化学气相沉积过 程的所有工序
Copyright BOE Technology Group
低(1)隙a态-S密i:H度、深能级g杂-质S少i、N高x迁:移L率、暗5态0电0阻±率高10%Å
护和绝缘的作用
Atm 机器手: ATM 机器手共有4个方向,即T,X,R,Z轴,其中X轴是通过
a-Si:L 半导体:Poly-Si(多晶硅), 非晶硅等;
500±15%Å
SiH4+H2 在TFT器件中起到开关
Light CPurVtaiXn(红外线):防p止-设Si备N自x动进行时2有5人0接0近±Sta1g0e %Å SiH4+NH3+N2 对S/D信号线进行保护
辉光放电生成等离子体在衬底上成膜。
4、Process Chamber
Copyright BOE Technology Group
PECVD基本原理及功能
ii.作为钝化层,密度较高,针孔少
高,固定
(3) n+ a-Si
Copyright BOE
Technology 具Gro有up较高的电导率,较低的电导激活能,较高的参杂效率,
形成微晶薄膜。
三、PECVD设备
Copyright BOE Technology Group
PECVD设备
1. Loadlock Chamber
Backing Pla➢te 温度
四、PROCESS CHAMBER内备件 温度也能部分反映出susceptor是否需要被更换

心血管疾病宣传资料(英文版)

心血管疾病宣传资料(英文版)
stopping drinking
Company Logo
Preventing the CVD
Giveing up Smoking
Be a non-smoker and avoid second
-hand smoke
trying to persu -ade smokers
to kick the habit
death rate(countryside)
the incidence of CVD(M) the incidence of CVD(F)0%2Fra bibliotek%40%
60%
80% 100%
Company Logo
Introduction of Cholesterol
Risk Factors For CVD
•Family history •Advancing age •Male gender •Hypertension
Company Logo
Summary
Rome is not built in a day. People with high Cholesteol have the
guts to stick it out with patience .
Company Logo
Company LOGO
感谢您的关注
Introduction of Cholesterol
About every 26 seconds A human being will suffer a coronary event, and about every 60 seconds someone will die from one.
death rate(urban)

CVD Process Introduction

CVD Process Introduction

Components of CVD system
Components APCVD (Atmospheric pressure)
LPCVD(Low pressure) SACVD(Sub-Atmospheric) PECVD (Plasma enhance) HDPCVD(High density plasma)
F). Byproduct desorptions
G). Byproduct diffuse across the boundary layer
H). Byproduct out of reactor
Boundary layer
DCVD Process
Silane process:
• Oxide:
(Non-)Uniformity =
Standard deviation (σ)
Mean value( )
╳ 100%
σ=
Σ(XI - )2
i=1~n
N-1
X1+ X1+ ……. + XN N
Dielectric film characteristics
Stress
Bare wafer
Compressive stress ( - ) After deposition
What’s DCVD ?
•DCVD
The process of depositing solid films using vapor or gases as the source material. These solid films are used for insulation between semiconductor layers.
Tensile stress ( + )

[整顿版]0.18um process introduction[精华]

[整顿版]0.18um process introduction[精华]

7:HDP DEP原理?
在CVD的同时,用高密度的PLASMA轰击,防止CVD填充
时洞口过早封死,产生空洞现象,因为有
PLASMA轰
击,所以HDP后要有RTA的步骤。
8:为什么HDP DEP后要有RTA? 9
AA Reverse
9:为什么在STI CMP前要进行AR PHO 和ETCH BACK?
AA SiN Pad oxide
P Substrate
P Substrate
10
9:为什么在STI CMP前要进行AR PHO 和ETCH BACK?
A:AR PHO 就是用AA PHO 的反版在HDP CVD 生长的 O使XCIMDEP上时形能成将图OX示I形DE状完A,全A先R去=用掉DR(AYA方-0法.4去) +掉0.大4) 块的-0O.2XIDE,
5800Å HDP
1625Å Nitride 110Å PAD Oxide
8
1. 在STI HDP前LINER-OXIDE的作用是什么? LINER OXIDE是用热氧化的方法生长的。 a.一方面在STI ETCH后对SI会造成损伤,生长一层LINER OXIDE可以修补沟道边缘Si表面的DAMAGE b.在HDP之前修复尖角,增加接触面 c.同时HDP DEPOXIDE是用PLASMA,LINER OXIDE也作为 HDP时的缓冲层。
• THIN OXIDE THK-P PAD12 ( 82+-17)
10:在STI CMP后OXIDE的表面要比NITRIDE 的低? A:NITRIDE的硬度较大,相对来说OX的研磨速率更高,因 此STI CMP 会有一定量的Dishing.
11:为什么在CMP后进行CLN?用什么药剂?

PVDCVD设备介绍

PVDCVD设备介绍
• Producer所執行的阻障層製程包括:SACVD, PECVD 及 先進的Low K材料製程如BD, BLOk
Generic 5LM Logic Device
Pad Etch
Passivation
Metal Etch Via Etch Contact Etch Spacer Etch Gate Etch
Centerfind / Cool Down Chamber
Wafer Cassette Loadlocks
89809-CO.18
Centura
• Centura是 Applied Materials 繼P-5000及Endura之後,又一單晶片 --多反應室設計的半導體製程設備。
• Centura於1992年問世。設計的理念為應用Endura的優異真空架構 及創新的晶圓傳輸設計,結合P5000的彈性製程整合能力以大幅提 高生產力。
Centura
• 主機架構(Mainframe) – operator monitors ,loadlocks,transfer chamber, auxiliary chambers,process chambers,pneumatics panels,氣體盤(gas panel),mainframe facilities panel
• 廠務設施(System facilities)
– 電氣(electrical power) – process and purge/vent gas – compressed dry air – chilled water – exhaust system with scrubbers
相關手冊
• Endura同時提供半導體元件製造時所需最先進的物理 氣相沉積製程能力。

化学气相沉积英文(1)

化学气相沉积英文(1)

OVERVIEWStudying chemical vapor deposition processes with theoretical chemistryHenrik Pedersen •Simon D.ElliottReceived:26February 2014/Accepted:28February 2014/Published online:18March 2014ÓSpringer-Verlag Berlin Heidelberg 2014Abstract In a chemical vapor deposition (CVD)process,a thin film of some material is deposited onto a surface via the chemical reactions of gaseous molecules that contain the atoms needed for the film material.These chemical reactions take place on the surface and in many cases also in the gas phase.To fully understand the chemistry in the process and thereby also have the best starting point for optimizing the process,theoretical chemical modeling is an invaluable tool for providing atomic-scale detail on surface and gas phase chemistry.This overview briefly introduces to the non-expert the main concepts,history and applica-tion of CVD,including the pulsed CVD variant known as atomic layer deposition,and put into perspective the use of theoretical chemistry in modeling these processes.Keywords Chemical vapor deposition ÁAtomic layer deposition ÁThin films ÁSurface chemistry ÁGas phase chemistry ÁTheoretical chemistry1An introduction to vapor-phase deposition techniques Thin films are layers of materials with thicknesses ranging from \1nm (a few atomic layers)to hundreds ofmicrometers (for reference,a human hair is about 75l m thick)[1].The importance of thin films in today’s society is enormous,and thin films can be found everywhere;from low friction coatings in a car engine to the anti-reflecting coating on the lenses of spectacles,as well as the decora-tive coating on their frames.Most metal objects around us have been machined by cutting tools that are coated with a hard,wear-resistant thin film.Replacement parts for the human body,such as hip joints,are often coated with a thin film to make them more biocompatible.Furthermore,today’s nanoelectronic devices are built up very precisely from stacks of thin films of various materials with different electrical properties,with some of the films as thin as one atomic layer.Technologically important thin films can be amorphous,polycrystalline or epitaxially grown single crystals,and the properties of the materials can often be tuned with great precision to suit various applications.To coat an object (the ‘‘substrate’’)with a thin film,it is often preferred to start from atoms or molecules in a vapor phase and place the object(s)to be coated in that vapor,letting atoms and/or molecules from the vapor build up a thin film on the surface of the object.These vapor-based thin film synthesis methods are classified as either physical vapor deposition (PVD)or chemical vapor deposition (CVD),depending on whether the film deposition process is driven by physical impacts or by chemical reactions,respectively.Generating the vapor in the reactor is of course straightforward when the desired element is avail-able in gaseous form,e.g.,O 2,but this is not the case for most elements.Therefore,in PVD,a solid sample con-taining the target elements is subjected to substantial energy,often in the form of a plasma or an electric dis-charge,thereby ejecting atoms and producing a vapor,which can then condense onto the substrate [2].In CVD,target elements are delivered in the form of volatilePublished as part of a special collection of articles focusing on chemical vapor deposition and atomic layer deposition.H.Pedersen (&)Department of Physics,Chemistry and Biology,Linko¨ping University,58183Linko ¨ping,Sweden e-mail:henke@ifm.liu.seS.D.ElliottTyndall National Institute,University College Cork,Lee Maltings,Cork,Ireland e-mail:Simon.Elliott@tyndall.ie Theor Chem Acc (2014)133:1476DOI 10.1007/s00214-014-1476-7molecules,denoted as precursors,and thefilm is built up via a series of chemical reactions between precursors, precursor fragments and the substrate.In the general case, such reactions can take place both in the gas phase and on the substrate surface.However,a form of CVD named atomic layer deposition(ALD)uses only surface chemical reactions to build up thinfilms with great precision[3,4].The precursor molecules are often diluted in a carrier gas that makes up the main part of the gas volume in the process, analogous to the solvent in liquid-phase chemical reactions. The carrier gas in CVD is most often hydrogen,nitrogen or argon,or mixtures of these.The majority of CVD processes are thermally activated by applying temperatures typically in the range200–2,000°C,although there are examples of CVD and ALD processes at lower temperatures,even down to room temperature,and at higher temperatures up to 2,500°C.There are also CVD and ALD processes that use a plasma to activate the chemistry by opening up new reaction pathways,by electron impact collisions[5]and by the gen-eration of ions and radicals,and these processes are referred to as plasma-enhanced CVD(PECVD)or alternatively plasma-assisted CVD(PACVD).The gas phase chemistry can also be activated by photons from a laser,referred to as laser-enhanced CVD(LECVD)or photo-assisted CVD[6].CVD may indeed be regarded as a chemical process that spans many traditional disciplines:chemical physics of gases and plasmas,surface science,solid-state chemistry of inorganic materials and organometallic or organic chem-istry for precursor synthesis.Thefield of CVD has been the subject of a number of books and book chapters[7–11], and several review articles on CVD[12–17]and ALD[3, 4,18–22]have been published over the last years,pro-viding both a detailed background to the processes and overviews of the current research frontier.The scope of this paper is limited to a brief overview of CVD,so as to put into perspective the use of theoretical studies,including those featured in this special collection of papers on the-oretical chemistry for CVD.2A brief history of CVDIn the history of CVD,J.M.Blocher is often mentioned as a father of modern CVD,since he suggested that thinfilm deposition processes based on chemical reactions should be distinguished from those based on physical processes.He proposed this at the symposium of the Electrochemical Society(ECS)1960in Houston and since then the terms CVD and PVD are used[23].Blocher also significantly developed the understanding of CVD,notably by summa-rizing the factors for structure/property/process relation-ships for CVDfilms[24].Refs.[23,25]and[26]provide a more complete account of the history of CVD.Thefirst CVD processes were reported and patented in the late nineteenth century and were used for the produc-tion of carbon powder for color pigment and of carbon fibers forfilaments in early versions of the electrical lamp. CVD of metals was also reported in the mid-and late nineteenth century;one of the earliest examples is the deposition of tungsten from WCl6in a hydrogen atmo-sphere reported by Wo¨hler in1855[27].Some years later, the famous Mond process for deposition of Ni was reported [28,29].This process was developed to purify nickel ore by transforming it to nickel tetracarbonyl[Ni(CO)4]at low temperatures and from this molecule deposit afilm of nickel on a substrate at a higher temperature.As early as1909,CVD of silicon was reported from SiCl4in hydrogen[30].This process is still used to produce pure silicon for industry,although somewhat refined to allow for greater control in the process.This is thefirst example of CVD being used to deposit afilm of a semi-conducting material and looking back in time it is clear that the microelectronics industry and CVD processes have developed hand-in-hand.The electronics boom,and asso-ciated drive toward miniaturization,has been pushing the development of CVD processes toward higher-qualityfilms over larger areas with better run-to-run reproducibility and uniformity.An important advance for the CVD of elec-tronic materials was the development in the late1960s of metal–organic CVD(MOCVD),where a metal is rendered volatile by surrounding it with organic ligands.Thefirst MOCVD process was used to deposit GaAs and was reported in1968[31].The use of metal–organic precursors such as trimethylgallium[Ga(CH3)3,also known as TMG] has thus been a key factor in the development of GaAs and other III–V-based electronics and forms today a corner-stone of III-nitride technology.The latest major breakthrough in thefield of CVD is the development of ALD,which wasfirst patented by Suntola in1977[32],although it should be pointed out that sig-nificant work was done prior to this in the former USSR (for more details on ALD history see ref[20]).Thefirst commercial application of ALD was in thinfilm electro-luminescent displays(TFELs),where ALD was shown to producefilms for the luminescent and protective layers with superior quality compared to the state-of-the-art thin film synthesis techniques of the1970s[33].Nevertheless, research into ALD remained at a low level until the late 1990s,when it was identified as a possible process solution for high-permittivity(‘‘high-k’’)thinfilms in the elec-tronics industry,specifically in memory devices and tran-sistors.Recently,Intel stated that the use of ALD was a key factor for the successful development of the high-k metal gate transistors that allowed further downscaling of the size of integrated circuit chips[34].Spurred on by this success, ALD is now becoming a widespread nanofabrication1476Page2of10Theor Chem Acc(2014)133:1476technique and being applied in a wide variety of industrial sectors.3Some applications of CVDThe applications of CVD are numerous,and their impact on today’s society is enormous.Here,a few important examples of CVD applications are described to provide a flavor of the impact of CVD on our everyday lives.3.1Hard coatingsMetal objects can be found everywhere,and most of them are machined by some cutting operation,e.g.,turning,milling or drilling.As an example,if one considers the amount of machined parts in an ordinary car and then considers how many cars are produced worldwide,it is obvious that metal cutting is of great importance for our society.Almost all cutting tools use exchangeable edges,referred to as inserts, made of cemented carbide.The great majority of inserts are coated with a hard,wear-resistant thinfilm that prolongs their lifetime by several orders of magnitude.Although PVD processes,especially for depositing hard nitride compounds, are emerging,CVD still is the work horse for coating cutting tools.A typical CVD coating for a cutting tool is a multilayer structure consisting mainly of some of the following mate-rials:TiN,TiC,TiC1-x N x,a-Al2O3and j-Al2O3.Thesefilm stacks are deposited in a single deposition process,typically at around50mbar and1,000°C,and a coating batch consists of several thousands of cutting inserts.Importantly,the properties of the individualfilms can be controlled with great precision[35].3.2Functional coatings on glassConsidering total area of depositedfilm,the largest appli-cation of CVD is to coat window glass.One of the most important reasons for coating a window is to prevent heat passing through,reducing the need for cooling down or warming up buildings,and thus reducing energy con-sumption.Typicallyfilms of transparent SnO2:F are used for this application.To alter the darkness of the window via electrochromism or thermochromism,films of WO3or VO2,respectively,are used.The coating can also reflect some of the incoming light,and for this,TiNfilms are employed.Thesefilms are deposited on the glass as afinal production step by an atmospheric pressure CVD technique mounted on thefloat glass production line.The technique was developed by Pilkington in the mid1980s.A recent development is to coat window glass with transparent TiO2,making the window self-cleaning by breaking down dirt via photocatalysis with sunlight[36].3.3MicroelectronicsIt is fair to say that without CVD we would not have the electronics that we take for granted today.All sorts of electronic devices are constructed from stacks of thin lay-ers with highly controlled electrical properties,and CVD is often the method of choice for depositing these thin layers. High process temperature is often not an issue for Si or III–V materials that form the bedrock of most of our everyday electronics devices,as well as for the emerging high-fre-quency and high-power electronics and light-emitting diodes based on silicon carbide(SiC)and III-nitrides. Therefore,CVD processes with process temperatures above1,000°C can be used;these processes are carried out close to thermodynamic equilibrium and do not suffer from particle bombardment.Thefilm quality is therefore gen-erally very high,with few defects in thefilms.The alter-native to CVD would be PVD,which is done further from thermodynamic equilibrium and often with a substantial amount of particle bombardment which gives rise to crystal defects.As mentioned above,ALD-grown high-k dielectric films have proven to be vital for a new generation of nanometer-scale transistors[34],where standard CVD is unable to deliver the required quality and uniformity at the thickness scale of just a few nanometers.Now that the utility of ALD in the semiconductor industry has been proven,it is being targeted for the deposition of a variety of materials in ultra-thin layers,particularly as interface control and three-dimensional structures become more important with continued down-scaling.3.4Gas permeation barriersAmong all deposition techniques,ALD is unique in enabling nanometer-thin,pinhole-freefilms that are con-formal over features at all length scales.By a happy coincidence,one of the most successful ALD processes across a wide temperature range is that of Al2O3,which is highly impermeable to oxygen gas and water vapor.It is therefore possible to use ALD to coat a variety of objects with an Al2O3coating that is impermeable to these gases, while also being so thin(on the order of10nm)that the optical and mechanical properties of the object are almost unaffected.Examples include reduced CO2permeability through ALD-coated PET bottles[37]and moisture/oxygen diffusion barriers for organic light emitting diodes in flexible display technology[38].4Chemical processes in CVDThinfilm growth by CVD is the result of a complex sequence of chemical reactions.All CVD processesTheor Chem Acc(2014)133:1476Page3of101476involve surface chemical processes and most CVD pro-cesses,with the exception of pure ALD processes,involve also gas phase chemical reactions.The types of chemical reactions that have been recognized as playing a role in CVD are schematically summarized in Fig.1.Such a conceptual scheme is generally the starting point for building theoretical models of the process.It is therefore important to test the relative importance of these chemical processes in the deposition of a given material system.An important feature of CVD is that a boundary layer develops above the substrate surface,also known as stag-nant boundary layer.The development of this layer is a consequence of thefluid dynamics whenflowing a gas mixture above the surface[11].In the boundary layer,the velocity of species in theflow direction is significantly lower than that in the main gas stream and the concentra-tion of species differs substantially compared to the main body of the gas stream.It is generally considered that all chemistry of importance to the CVD process takes place in the boundary layer and on the substrate surface.In most,but not all,CVD processes the precursors undergo gas phase chemical reactions that result in the formation of more reactive species.The reactions may be activated thermally or by an external source of energy,e.g., application of a plasma.Generally these more reactive species are smaller fragments of the original molecule and when a plasma is used to activate the chemistry the molecular fragments can even be radicals or ions.One should bear in mind here that many CVD processes are done at low pressures and high temperatures,allowing a significant lifetime of very reactive species,compared to many other chemical reaction environments in, e.g.,a liquid solution.It should be mentioned that for some CVD chemistries,the gas phase chemistry produces larger complexes of several precursor molecules that after some molecular re-arrangements are the species active infilm deposition[39].When hydrogen is used as carrier gas,it often takes part in the gas phase reactions,but even rela-tively inert nitrogen gas can in some thermally activated CVD processes function as both carrier gas andfilm pre-cursor.A mixture of hydrogen and nitrogen is then used as carrier gas,and only a very small fraction of the nitrogen molecules react to form thefilm.The use of plasma to activate the gas phase chemistry,as in PECVD,opens up several new reaction paths at signif-icantly lower temperatures,mainly by electron impact collisions but also by collisions between plasma ions and precursor molecules,and by ions or radicals reaching the surface[5].The effect of the plasma is therefore often controlled by the distance between plasma and substrate in the reactor;if the substrate is placed in the plasma or very close to the plasma(‘‘direct PECVD’’),ionic species will significantly contribute to the chemistry,both in the gas phase and on the surface.A longer distance between plasma and substrate(‘‘remote PECVD’’)leads to more significant contribution from radical species rather than ions.It should be noted also that the power supplied to the plasma discharge will change the amount of ions in the plasma and thereby also the ionic contribution to thefilm growth chemistry[40].In PECVD,dinitrogen gas is often used as nitrogen precursor since the molecule rather easily dissociates in the plasma.The precursor molecules or the more reactive fragments of the precursor molecules are transported via gas diffusion through the boundary layer to the substrate surface.The adsorption mode depends critically on the chemical prop-erties of the incident precursor or fragment and of the surface.All precursor molecules can be expected to weakly physisorb at least for a short time,followed by desorption, since CVD reagents are volatile by design.If there is suf-ficient chemical complementarity between precursor or reactive fragment and surface,stronger chemisorption is1476Page4of10Theor Chem Acc(2014)133:1476possible,leading to longer lifetimes of the adsorbate.Some adsorbates react rapidly on the surface(e.g.,dissociative chemisorption),either because bonds within the adsorbate are weakened or because of co-reagents on the surface. ALD is based on the elimination of ligands from the adsorbate through reaction with co-adsorbed remnants from a prior co-reagent pulse.Adsorbate molecules or fragments may be mobile on the surface and may sample a variety of surface sites via dif-fusion,which again may lead to reactions.In general, surface diffusion is the process that leads ultimately to formation of afilm of the target material.Finding the optimum adsorption site via diffusion is particularly important in the growth of epitaxial thinfilms for elec-tronics since the crystalline quality of thefilm determines the performance of thefinal device.Finding optimum sites is facilitated by a strong energetic driving force toward forming the target material from the reagents.In ALD,this has been described as‘‘densification,’’whereby the coor-dination number of the constituent atoms increases from the low value of molecular precursors to the high value of the solid product[42,43].An important aspect of thinfilm deposition is the growth mode of thefilm on a given substrate.Fragments may aggregate into nuclei or islands,or may attach to an atomic step,or may favor a uniform coating.Roughness will increase if subsequent growth is favored at islands.By contrast,well-behaved ALD processes give conformal films that exactly follow the roughness of the substrate. Ultimately the growth processes combine and dictate the larger-scale morphology of the as-grownfilm(epitaxial crystal,polycrystalline or amorphous),but the factors determining this are not in general well understood[4].The parts of the precursor molecule(e.g.,the ligands)that do not constitute the target material should then desorb from thefilm surface as by-products.These by-products can be simple molecules formed by the chemical reactions on the surface,e.g.,H2,CO2or Cl2,or can be large molecules derived from the ligands.For example,a protonated ligand is the by-product in thermal ALD of metal oxides using H2O as co-reagent.In any case,it is of great importance to all CVD processes that these by-products desorb cleanly from thefilm surface and are not incorporated as impurities in thefilm. 5The use of theoretical studies developmentand understanding of CVD processesThe main motivation for theoretical chemical studies of CVD processes is obtaining a detailed understanding of the chemical reactions summarized in Fig.1.There are various outcomes from obtaining this understanding,as shown in the following examples.5.1A better understanding of established CVDprocessesThermochemical modeling of the gas phase chemistry in CVD is a convenient approach for understanding the gas chemistry,given that not many experimental techniques are available.Spectroscopic technique,e.g.,Raman or FT-IR spectroscopy,could in principle be used but this requires that all molecules in the gas phase can be detected by these techniques and that the CVD reactor can befitted with viewports of a material that is both transparent for the excitation light and at the same time not affected by the CVD gases and process temperatures.A mass spectrometer measuring the gasflow could also be used to study the process chemistry;the problem with this is that only the stable molecules are detected while all unstable interme-diates are lost.An example of a CVD process where much understanding has been provided by thermochemical modeling is CVD of electronic grade SiC.Significant effort has been directed toward understanding the process,and a gas phase and surface reaction model has been proposed [44].Using this model,the CVD process can be discussed based on the gas phase and surface chemistry,rather than susceptor design and gasflow patterns.Modeling can then be used to predict growth rate,etching rate,surface mor-phology and doping,all key aspects when doing CVD of electronic device structures.Detailed gas phase chemistry models can also be obtained by quantum chemical calcu-lations,an example is the gas chemistry model for CVD of boron carbide from BCl3and C3H8involving16interme-diates presented in ref[45].Consider the CVD of Al2O3from AlCl3and CO2,which has mainly been developed by research departments in the hard coatings industry.CO2forms H2O and CO together with the hydrogen carrier gas in a gas phase reaction in the reactor,and H2O then acts as the oxygen precursor in the formation of Al2O3.The catalytic effect of H2S in this process has been known for many years.The addition of H2S leads to a higher deposition rate and more surface-controlled deposition process as evidenced by more uni-form coating thickness on complex substrate geometries [46].But since this process was mainly developed in industry,where detailed understanding often has low pri-ority,it was only lately that a surface chemical model supported by careful theoretical chemistry modeling could be presented[47].It was suggested that H2S acts as a true surface catalyst by facilitatingfirst the removal of adsorbed chlorine from the surface and then the adsorption of H2O. Another example where modeling has been able to explain details in CVD of hard coatings is the observation that thin films of titanium carbide grow along the(111)direction when aromatic hydrocarbons such as benzene are used, while aliphatic hydrocarbons, e.g.,methane,produceTheor Chem Acc(2014)133:1476Page5of101476growth in the(100)direction.This is of interest since(111) TiC performs better in cutting operations[48]and since control of the preferred TiC growth direction enables control of the preferred growth direction of alumina deposited on top of the TiC layer[49].The explanation for the change in preferred growth direction with aromatic hydrocarbons,provided by quantum chemical calculations, was that benzene chemisorbs significantly more strongly on the(111)surface compared to the(100)surface and reduces the surface energy of the(111)surface[50]. Methane did not show any significant preference for either surface,so the lower surface energy of the(100)surface controls the growth direction when aliphatic hydrocarbons are used.Although thesefindings on the surface chemistry are not likely to change the well-established and optimized CVD process for industrially used hard coatings,such deeper understanding of the chemistry can serve as a guide for design of future CVD processes.5.2Using modeling for designing new CVD processes Theoretical studies of CVD surface chemistry that is more directed toward designing a new CVD process for a material are the theoretical studies of CVD of cubic boron nitride(c-BN)onto diamond surfaces.Models are devel-oped of both the initial growth stage onto a diamond sur-face[51]and the subsequent growth of c-BN onto c-BN [52,53],including surface reconstructions induced by adsorption of precursor molecules onto c-BN[54,55].The authors of these studies boil down their results to a sug-gested ALD chemistry for deposition of c-BN[52], although it remains to be seen whether it will be tested given the large amount of HF produced as by-product.When developing precursors for new CVD processes, modeling can give a better understanding of the behavior of the molecules.It is especially important to understand how the molecules behave upon vaporization and in the vapor phase.One such example is the development of CVD precursors for metal boridefilms of the actinides and lan-thanides[56,57],where DFT modeling provided a better understanding of why the same type of organometallic molecule works as CVD precursor for Sm,Pr and Er but decomposes instead of subliming for U[58].Approaches exist for the simulation of gas–liquid equi-libria for small molecules[59],which allow the vapor pressure to be predicted.Extending these to metalorganic molecules requires accurate estimation of both metal–ligand bonding and intermolecular interaction,and there-fore requires an approach beyond standard density func-tional theory.Simulating hundreds of molecules at such a high level is currently beyond routine computational capacity.5.3ALD development and understandingGiven its great importance for the electronics industry,ALD is today thefield of CVD where perhaps the largest amount of effort is being directed toward increased understanding of the process chemistry.Research on ALD is somewhat simplified by the surface-controlled nature of the process—at least in the ideal case—so that growth should be independent of other factors such as gas phase chemistry and gasflow in the reactor. However,the stringent and partially contradictory requirements for achieving ALD make it very difficult to design a new pro-cess,even when theory and experiment work closely together.Since the earliest days of ALD research,atomic-scale models have been made of the underlying chemistry.Pio-neering calculations were carried out on the zinc sulfide system by Pakkanen and Lindblad in the1980s[60,61].Over150 publications modeling ALD have followed since then,mostly carrying out quantum chemistry on the reactions of precursors with model substrates and many of these concerned with high-permittivity dielectrics on silicon.The state of the art to2012is summarized in ref[62].Since then,our most recent atomic-scale simulations have revealed new details of the mechanism of oxide ALD[43],have accounted for the stoichiometry of ternary oxides[63],have assessed precursor ligands for alka-line earth metal oxides[64]and for copper metal[65]and have developed kinetic Monte Carlo models offilm growth[66].The ALD process is manifest across many length scales.The pulsedflow of gases into meter-scale reactors,around milli-meter-scaled geometries,leads to chemical reactions between atoms,which grow into nanometer-thickfilms and coat micron-scaled pores or particles.It is clearly impossible to describe explicitly all of these length scales in one model,and most simulations are‘‘multi-scale’’insofar as they involve coupling between selected length scales according to the property of interest.The problem of timescale in ALD is perhaps even more acute than that of length scale,since a combination of fast(ps–ns)and slow reactions(l s–ms)contribute tofilm growth,and gases are pulsed and purged over second-long timescales.Well-behaved ALD processes are independent of reactor conditions, and so modeling ALD chemistry exclusively at the atomic scale can in fact explain many features offilm growth,without ref-erence to reactor conditions.Nevertheless,explaining ALD’s unique selling point—conformal and uniform growth regard-less of the size and shape of the substrate—requires modeling at higher length scales,ideally incorporating information about the reaction chemistry from the atomic scale.6An overview of the special collection of paperson CVD and ALD modelingThis overview strives to aid readers with little or no experience in CVD and ALD and to put this special1476Page6of10Theor Chem Acc(2014)133:1476。

CVD制程工艺及设备介绍课件

CVD制程工艺及设备介绍课件

PECVD Process Parameter
• Gas flow rate (SiH4, NH3, H2, PH3 1%/H2, N2, Ar, NF3) • Chamber Pressure……. (pumping speed, throttle valve position) • RF Power • Substrate temperature • Electrode spacing
1.CVD设备主机台AKT25K/25KAX 2.安全方面介绍
学习交流PPT
25
Mainframe Structure
Mainframe Control Tower Heat Chamber
Gas Panel
Transfer Chamber
Process Chamber
学习交流PPT
DDSL
26
Mainframe Structure
Gate绝缘膜 Gate电极 a-Si半导体膜
(PECVD)沉
Passivation钝化膜

Pixel模式图
学习交流PPT
11
Data线 (数据线)
TFT等效电路
Gate线 (扫描线)
G(栅极) Gate
G(栅电极或闸极)Gate
有源层 a – Si层
= S(源电极)Source D(漏电极)Drain
项目
目的
Pas 后斜光检查
4Pcs/Lot
①Mura ②成膜区域 ③基板破损,划伤 ④异常放电
①特性异常 ②成膜区域 ③防止后工程基板裂纹 ④特性异常
N+ Photo
①Trouble时
① Mura
①特性异常
①AM图像检查

光刻胶及周边材料可修改文字

光刻胶及周边材料可修改文字
34
表面防反射膜(利用光干涉现象)
靠不同材料折射率不同来改善(含氟较多)
1. 厚度d调整相位: 2. 折射率调整最佳条件:
n2 n3
倍晶 35
无TARC
表面防反射膜(TARC<30-70nm)可以解决打洞不良
倍晶 36
有TARC
TFT制作
倍晶
光刻胶
37
液晶显示彩色和OLED
TFT: 液晶, OLED 彩胶: 液晶显示
②. Photo spacer
film
LTOC(Passivation)
Ti/Al/Ti SiNx
Ti/Al/Ti SiN
③. Organic Insulator
倍晶 8
光刻胶工艺需要整个高纯材料产业链支持
成膜材料
无机气体 涂膜型
光刻胶
正胶
树脂
光敏剂/光酸 高纯溶剂
酚醛树脂 g-h-i-
PHS 248nm 亚克力 193nm 聚酰亚胺 PI PAC (焦性没食子酸由来)
120C
81.0 19.7
140C
69.1 31.6
160C
CD (nm) 缩小量(纳米)
倍晶
140.0 -
125.0 15.0
124.5 15.5
122.3 17.7
烘烤工艺
显影 槽沟尺寸变小
40
防倒塌材料:光刻胶需要一定高度,尺寸变小后会倒塌
TMAH Developer
Photoresis t
倍晶
有機EL表示素子(TFT)の横断面概略 1.封止層 2.負極 3.有機半導体 4.正極 5.直流駆動回路 6.TFT
38
洞缩小及槽沟缩小材料(光刻胶性能延伸)AZ RELACS / TOK Saphire
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
CVD Process Introduction
Content What is CVD DCVD Application in IC Process CVD Process Low K materials
2
What is DCVD
Dielectric-CVD is a synthesis process in which the atomic constituents react in the vapor phase near or on a heated substrate to form a nonvolatile Solid Dielectric Film. It should combine several scientific and engineering philosophy composed of thermodynamics, kinetics, plasma physics and chemistry.
4
DCVD Application
Oxide(un-doped & doped) ; Nitride are the typical dielectric film.
Oxynit film property is normally between Oxide and Nitri

HDP SiH4 / O2
7
DCVD Application
Inter Layer Dielectric(ILD or PMD)
Application:
➢ Insulator layer between gate and metal-1
Required properties:
➢ USG ✓ Prevent B, P of BPSG film from diffusing into active area ✓ Won’t degrade hot carrier integration
3
Why DCVD
1. High purity deposition can be achieved. 2. A great variety of chemical compositions can be deposited. 3. Some films can not be deposited with adequate film properties by any other method. 4. Good economy and process control are possible for many films.
Why add B into glass film?
Thermodynamics: A+B ==> C+D GΔ (Gibbs free energy) = G product – G reactant If GΔ < 0 ==> The reaction is feasible T=1000K, R1 is not feasible but R2 is feasible Reaction 1, It not feasible at 800K, but feasible at 1400K
Pre-metal Dielectric(PMD)
STI
Low k, trap mobile iron and low
thermal thermal budget are
required.
6
DCVD Application
Shallow Trench Isolation (STI)
Application:
➢ BPTEOS ✓ Planarization (>0.5um, non CMP technology) ✓ Good gap filling ability, no void between poly lines
Process tools:
➢ USG: PEOX (SiH4) or PEOX (TEOS) ➢ BPSG: APCVD BPSG, PECVD BPSG, APCVD BPTEOS, SACVD
BPTEOS
8
DCVD Application
The characteristics of BPSG film
Why doped oxide for ILD application
Planarization Gettering
Why add P into glass film?
Mobile ion gettering Crack resistance from thermal cycle Lower down the melting temperature of oxide film. Too high P concentration will cause metal corrosion.
➢ APCVD O3-TEOS (WJ) ➢ SACVD O3-TEOS (AMAT)
Since O3-TEOS has the ability to achieve excellent step coverage and
conformality, led to good gap filling ability.
Final passivation
Low dep. Temp, high strength and moisture isolation ability are required
ARC and Hardmask
Inter Metal Dielectric(IMD)
Low K, void free gapfill, somth surface and low process temp. are required
➢ Isolation region between active area, used beyond 0.25um.
Required properties:
➢ Excellent gap filling ability
➢ No induced leakage current
Process tools:
相关文档
最新文档