半导体专业术语英语..
半导体制造专业英语术语
aluminum subtractive process铝刻蚀工艺
ambient环境
ammonia(NH3)氨气
ammonium fluoride(NH4F)氟化氨
ammonium hydroxide(NH4OH)氢氧化氨
amorphous非晶的,无定型
analog模拟信号
angstrom埃
BICMOS双极CMOS
bincode number分类代码号
bin map分类图
bipolar junction transistor(BJT)双极晶体管
bipolar technology双极技术(工艺)
bird’s beak effect鸟嘴效应
blanket deposition均厚淀积
blower增压泵
die matrix芯片阵列
die separation分片
diffraction衍射
diffraction-limited optics限制衍射镜片
diffusion扩散
diffusion controlled受控扩散
digital/analog数字/模拟
digital circuit
diluent
CERDIP陶瓷双列直插封装
Channel沟道
channel length沟道长度
channeling沟道效应
charge carrier载流子
chase技术夹层
chelating agent螯合剂
chemical amplification(CA)化学放大胶
chemical etch mechanism化学刻蚀机理
deep UV(DUV)深紫外光
default n.默认(值),缺省(值),食言,不履行责任, [律]缺席v.疏怠职责,缺席,拖欠,默认
半导体制造专业英语术语
球栅阵列舞厅式布局,超净间的布局 圆桶型反应室 阻挡层金属势垒电压backing film 背膜baffle vt ・ 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇 编 (挡片块)丨 基极,基区 batch 批 bay and chase beam blow-up离子束膨胀 beam deceleration 束流减速分类代码号双极双极技术(工艺) bird ' s beak effect 鸟嘴效应blanket deposition 均厚淀积blower增压泵boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage [法]再见,一路顺风[平安]bonding pads 压点bonding wire 焊线,引线boron(B) 硼boron trichloride(BCL3) 三氯化硼boron trifluoride (B F3)三氟化硼borophosphosilicate glass(BPSG)硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC)下减反射涂层boule单晶锭bracket n.墙上凸出的托架,括弧,支架v.括在一起breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffered oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒) burn-in 老化CCA 化学放大(胶) cantilever n. 建]悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器carbon tetrafluoride(CF4) 四氟化碳caro ' s acid3 号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD- SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面center slow 中心慢速central processing unit(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子chase技术夹层chelating agent 螯合齐ijchemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化学气相淀积chip 芯片chip on board(COB)板上芯片chip scale package(CSP)芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级另卩cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficient n. [数]系数Coefficient of thermal expansion(CTE)热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputtering 准直溅射Compensate v.偿还,补偿,付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv・不变地,经常地,坚持不懈地Confocal microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染conti boat 连柱舟conticaster [冶]连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n.捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVD Copper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向CTE 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects density 缺陷密度defect 缺陷deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培density 密度deplention mode 耗尽型degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt ・存放,堆积vi.沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT)可测试设计desorption 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n.背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric constant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog数字/模拟digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution ratedissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮柰醌一酚醛树脂Donor 施主dopant profile 掺杂刨面) doped虚拟的, region 掺杂区 doping 掺杂 dose monitor剂量检测仪 dose,Q 剂量 downstream reactor 顺流法反应 drain 漏 drive-in推进 dry etch 干法刻蚀 dry mechanical pump干式机械泵 dry oxidation 干法氧化dummy n.哑巴,傀儡,假人,假货 adj. 假的,虚构的 n.[计]哑元 dynamic adj. 动力的,动力学的,动态的 E economies of scale 规模经济 edge bead removal 边缘去胶 edge die 边缘芯片edge exclusion 无效边缘区域 electrically erasable PROM 电可擦除 EPROM electrode 电极 electromigration 电迁徙 electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器 electron shower 电子簇射,电子喷淋 electron stopping 电子阻止 electronic wafer map 硅片上电性能分布图 electroplating 电镀 electropolishing 电解拋光electrostatic chuck 静电吸盘 electrostatic discharge(ESD)静电放电 ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极 endpoint detection 终点检测 engineering n.工程(学) electrostatic discharge(EDX)能量弥散谱仪 enhancement mode 增强型 epi 夕卜延epitaxial layer 夕卜延层epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion腐蚀,浸蚀establish vt・建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(・・・也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅F Fables无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s lawsFICK 定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和圭寸装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus聚焦focus ion beam(FIB) 聚焦离子束footprint 占地面积formula n.公式,规则,客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel 缺陷front-opening unified pod(FOUP)前开口盒functional test 功能测试furnace flat zone 恒温区G g-line G 线gallium(Ga)镓gallium arsenide(GaAs)砷化镓gap fill间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 错getter 俘获glass玻璃glazing 光滑表面global alignment 全局对准global planarization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层HHalogen 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密圭寸heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等离子体化学气相淀积高温扩散炉 high-density plasma etch 高密度等离子刻蚀 high-pressure oxidation 高压氧化high-temperature diffusion furnace high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(铝)尖刺 homoepitaxy 同质外延 homogeneous reaction 同质反应 horizontal adj.地平线的,水平的 horizontal furnace 臣卜式炉 hot electron 热电子 hot wall 热壁 hydrochloric acid(HCL)盐酸 hydrofluoric acid(HF)氢氟酸 hydrogen(H2)氢气 hydrogen chloride(HCL)氯化氢 hydrogen peroxide(H2O2)双氧水 hydeophilic 亲水性 hydrophobic 憎水性,疏水性 hyperfiltration 超过滤Ii-line I 线IC packaging 集成电路封装IC reliability 集成电路可靠性 Iddq testing 静态漏电流测试 image resolution 图象清晰度 图象分解力implant v.灌输(注入) impurity 杂质 increment n.增力口,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母 in situ measurements 在线测量 index of refraction 折射率 indium 铟 inductively coupled plasma (ICP )电感耦合等离子体 inert gas惰性气体infrared interference 红外干涉ingot 锭ink mark墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/ 输出管脚institute n. 学会,学院,协会vt.创立,开始,制定,开始(调查),提起(诉讼) insulator 绝缘体integrate vt.使成整体,使一体化,求…的积分v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子) intrinsic silicon 本征硅invoke v.调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter离子注入机ion projection lithography(IPL) 离子投影机PVD ionization 离子化ionized metal plasma PVD 离子化金属等离子IPA vapor dry 异丙醇气相干燥isolation regions 隔离区isotropic etch profile各向同性刻蚀刨面JJEFT结型场效应管junction(pn) PN 结junction depth 结深junction spiking 结尖刺KKelvin绝对温度killer defect致命缺陷kinetically controlled reaction 功能控制效应L laminar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusion 横向扩散law of reflection 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush沾污的毛刷loaded effect 负载效应loadlock真空锁local interconnect(LI)局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS)硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI大规模集成电路Mmagnetic CZ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj.数学的,精确的mean free path(MFP) 平均自由程medium vacuum 中真空adj. megasonic cleaning 超声清洗melt熔融membrane contactor薄膜接触器,隔膜接触器membrane filter薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack复合金属,金属堆叠metallization 金属化metalorganic CVD金属有机化学气相淀积metrology 度量衡学microchip微芯片microdefect 微缺陷microlithography 微光刻microloading微负载,与刻蚀相关的深宽比micron微米microprocessor n.[计]微处理器microprocessor unit 微处理器microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt・更改,修改v.修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法) MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型N nanometer(nm)纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negatine resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受) negatine resist development 负性光刻胶显影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3)硝酸nitrogen(N2)氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化notch 定位槽novolak苯酚甲醛聚树脂材料npn npn 型(三极管) n-type silicon n 型硅nuclear stopping 离子终止nucleation 成核现象,晶核形成nuclei coalescence 核合并numericalaperture(NA) 数值孑L径n-well n 阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物氧化诱生层积 vi.划桨,戏 out-diffusion 反扩散 outgassing 除气作用 overdrive 过压力 overetch step 过刻蚀 overflow rinser 溢流清洗 overlay accuracy 套准精度 overlay budget 套准偏差 overlay registration 套刻对准 oxidation 氧化 oxidation-induced stacking faults(OISF) 缺陷,氧化诱生堆垛层错 oxide 氧化物、氧化层、氧化膜 oxidezer 氧化齐ij oxide-trapped charge 氧化层陷阱电荷 ozone(O3)臭氧Ppackage 封装管壳 pad conditioning 垫修整 pad oxide 垫氧化膜 paddle 悬臂 n.短桨,划桨,明轮翼 水,涉水 vt ・用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试 parameter 参数parametric test 参数测试 parasitic 寄生parasitic capacitance 寄生电容 parasiticresistance 寄生电阻 parasitic transistor 寄生电阻器 partial pressure 分压 particledensity 颗粒密度 particle per wafer perpass(PWP)每步每片上的颗粒 数passivation 钝化 passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版完成任务 p-channel MOSFETp 沟道 MOSFET PCM 工艺控制监测 PEB 曝光后烘焙 PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜 pentavalent 五价元素 perform vt ・ 履行,执行,表演,演出 v. performing adj. 表演的,履行的 perimete array 周边阵列式(圭寸装) pH scale pH 值 phase-shift mask(PSM) 相移掩膜技术 phosphine(PH3) 磷化氢 phosphoric acid(H3PO4)磷酸 phosphorus(P)磷 phosphorus oxychloride(POCL3)三氯氧磷 phosphosilicate glass(PSG)磷硅玻璃 photoacid generator(PAG)光酸产生剂 photoacoustics 光声的 photoactive compound(PAC)感光化合物 photography n.摄影,摄影术 光刻photolithography 光刻(技术) photomask 光掩膜 photoresist 光刻胶 photoresist stripping 去胶、光刻胶去除 physical etch mechanism 物理刻蚀机理 physical vapor deposition(PVD)物理气相淀积 pigtail 引出头 pin grid array(PGA) 针栅阵列式(封装)pinhole 针孑 L piranha 3 号液 pitch 间距 planar 平面 planar capacitor 平面电容 planar process 平面工艺 planarization 平坦化 plasma 等离子体 n.[解]血浆,乳浆,[物]等离子体,plasma-induced damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料圭寸装plug塞,填充vt.堵,塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisson's model 泊松模型polarization 极化,偏振polarized light 极化光,偏振光polish拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循环polishing pad 拋光(衬)垫polycide 多晶硅化物光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orientation flat 主定位边print bias光刻涨缩量printed circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober探针台process 工艺process chamber工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM)工艺控制监测(图形) process latitude工艺水平,工艺能力process recipe 工艺菜单programmable arraylogic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt・提示,鼓动,促使, (给演员)提白adj.敏捷的,迅速的,即时的adv.准时地n. DOS命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportional band 比例区,比例带,比例尺范围proximityaligner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率punchthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyrogenic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass analyzer (QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发random access memory(RAM) 随机存储器range射程rapid thremal anneal(RTA) 快速热退火rapid thermal processor(RTP)快速热处理RCA clean RCA 清洗reaction rate limited 反应速率限制reactive ion etch(RIE)反应离子刻蚀reactivity 反应性reactor反应室,反应腔read-only memory(ROM)只读存储器recombination 复合redistribution 再分布reflection spectroscopy 反射光谱仪reflective notching 反射开槽reflow回流refraction 折身寸refractory metal 难融金属regeneration 再生regeneration套准精度relative index of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt・重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA)残余气体分析器resist光刻胶resist development 光刻胶显影resistance 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO)反向渗透RF射频RF sputtering射频溅射rinse v嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughing pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scaling按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪scanning electron microscope(SEM)扫描电子显微镜scanning projection aligner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层scribe line 戈H 片道scribe line monitor(SLM)戈J片线监测scumming 底膜secondary electron 二次电子secondary electron flood 二次电子流secondary ion mass spectrometry(SIMS)二次离子质谱 (法) seed' s model SEE 模型selective etching 选择性刻蚀selective oxidation 选择性氧化selectivity 选择性semiconductor grade silicon 半导体极硅semiconductor 半导体sensitivity 灵敏度shallow trench isolation(STI)浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity,方块电阻率shot size胶(点)尺寸shrinking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon nitride(SI3N4)氮化硅silicon on sapphire 蓝宝石伤硅silicon on insulator(SOI)绝缘体上硅silicontetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅single crystal silicon 单晶硅silylation硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料single crystal 单晶slip滑移slurry磨料SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solvent 溶齐ijSOS蓝宝石上硅Source 源source drain implants 源漏注入spacer n.取间隔的装置,逆电流器spatial coherence 空间相干spatial signature analysis 空间信号分析specialty gase 特种气体species 种类specific gravity 比重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪spin coating光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric(SOD)旋转介质法spin-on-glass(SOG)旋转玻璃法spray cleaning 喷雾清洗spray rinser喷雾清洗槽spreading resistance probe 扩散电阻探测sputter n・喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputtering 溅射sputter etch溅射刻蚀sputtered aluminum 溅射铝sputtering yield 溅射产额SSI小规模集成电路stacking fault层积缺陷,堆垛层错standard clean 1(SC-1) 1 号清洗液standard clean 2(SC-2) 2 号清洗液standard mechanical interface(SMIF)机械标准接口standing wave 驻波static RAM静态存储器statistical process control ( SPC)统计过程控制step coverage台阶覆盖step height台阶高度step-and-repeat aligner 分步重复光刻机step-and-scan system步进扫描光刻机stepper步进光刻机stepping motor driver步进电机驱动器电路stepper步进光刻机stoichiometry化学计量(配比) staggle投射标准偏差stress应力striation 条纹strip vt・剥,剥去n. 条,带stripping 去胶structure 结构subatmospheric CVD亚大气压化学气相淀积submicron 亚微米sub-quarter micron 亚0・25微米substrate 衬底sublimation 升华substitutional atom 替位原子subtract v (〜from)减去,减subwaverlength lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage 测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompression bonding 热压键合thermocouple 热电偶thermogravimetric analysis (TGA) 热重量分析thermosonic bonding 热超声键合thin film 薄膜thin small outline package(TSOP)薄小型圭寸装川-V compound 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS(TOF -SIMS) 飞行时间二次离子质谱titanium silicide 钛硅化合物TLV极限域值top surface imaging 上表面图形topography 形貌torr 托toxic有毒track system(also track) 轨道系统transient enhanced diffusion(TED)瞬时增强扩散transistor 晶体管trench 槽trench capacitor 槽电容trichlorosilane(TCS or SiHCL3)三氯氢硅triode planar reactor三真空管平面反应室triple well 三阱trivalent 三价tungsten(W)钨tungsten stch back 钨反刻tungsten hexafluoride(WF6)六氟化钨tungstenplug钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin planes(twinning) 双平面twin-well(twin-tub)双阱UULSI甚大规模集成电路ultralow penetration air(ULPA)超低穿透空气ultrafiltration 超过滤ultrafine particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow junction 超声键合(压焊) ultraviolet 紫外线undercut 钻蚀uniformity 均匀性unit cell元包,晶胞unpatterned etching(spripping)无图形刻蚀(剥离) unpatterned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE)气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜vaporization 气化variable n.[数]变数,可变物,变量adj. 可变的,不定的,。
半导体专业术语英语
1.acceptancetesting(WAT:waferacceptancetesting)2.acceptor:受主,如B,掺入Si中需要接受电子3.ACCESS:一个EDA(EngineeringDataAnalysis)系统4.Acid:酸5.Activedevice:有源器件,如MOSFET(非线性,可以对信号放大)6.Alignmark(key):对位标记7.Alloy:合金8.Aluminum:铝9.Ammonia:氨水10.Ammoniumfluoride:NH4F11.Ammoniumhydroxide:NH4OH12.Amorphoussilicon:α-Si,非晶硅(不是多晶硅)13.Analog:模拟的14.Angstrom:A(1E-10m)埃15.Anisotropic:各向异性(如POLYETCH)16.AQL(AcceptanceQualityLevel):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17.ARC(Antireflectivecoating):抗反射层(用于METAL等层的光刻)18.Antimony(Sb)锑19.Argon(Ar)氩20.Arsenic(As)砷21.Arsenictrioxide(As2O3)三氧化二砷22.Arsine(AsH3)23.Asher:去胶机24.Aspectration:形貌比(ETCH中的深度、宽度比)25.Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26.Backend:后段(CONTACT以后、PCM测试前)27.Baseline:标准流程28.Benchmark:基准29.Bipolar:双极30.Boat:扩散用(石英)舟31.CD:(CriticalDimension)临界(关键)尺寸。
在工艺上通常指条宽,例如POLYCD为多晶条宽。
半导体专业术语英语讲解学习
半导体专业术语英语1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体专业英语词汇
半导体专业词汇1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体行业专业英语名词解释
英文名称
中文名称
1
Active Area
主动区(工作区)
2
ACETONE
丙酮
3
ADI
显影后检查
4
AEI
蚀刻后检查
5
AIR SHOWER
空气洗尘室
6
ALIGNMENT
对准
7
ALLOY/SINTER
熔合
8
AL/SI
铝/硅靶
9
AL/SI/CU
铝/硅/铜
10
ALUMINUN
铝
11
ANGLE LAPPING
63
ESD
ELECTROSTATIC DAMAGE
ELECTROSTATIC DISCHARGE
静电破坏
静电放电
64
ETCH
蚀刻
65
EXPOSURE
曝光
66
FABRICATION(FAB)
制造
67
FBFC(FULL BIT FUNCTION CHIP)
全功能芯片
68
FIELD/MOAT
场区
69
FILTRATION
生产周期时间
41
DEFECT DENSITY
缺点密度
42
DEHYDRATION BAKE
去水烘烤
43
DENSIFY
密化
44
DESCUM
电浆预处理
45
DESIGN RULE
设计规范
46
EDSIGN RULE
设计准则
47
DIE BY DIE ALIGNMENT
每FIELD均对准
48
DIFFUSION
半导体专业英语词汇
半导体专业词汇1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体相关英语术语
半导体相关英语术语半导体领域是电子学和电路设计的重要组成部分。
本文将介绍半导体领域中一些常见的英语术语。
1. Semiconductor(半导体)Semiconductors are materials that have electrical conductivity between conductors and insulators. They have a property of conductivity that lies between that of a conductor and an insulator. Common semiconducting materials include silicon, germanium, and gallium arsenide.2. Integrated Circuit (IC)(集成电路)An integrated circuit, or IC, is a miniaturized electronic circuit consisting of semiconductor devices, such as transistors, diodes, and resistors, as well as passive components, such as capacitors and inductors, interconnected on a single semiconductor substrate or chip.3. Transistor(晶体管)A transistor is a semiconductor device that controls the flow of current or amplifies signals. It is made up of three layers of semiconductor material, typically doped with impurities to create either N-type or P-type regions. Transistors are the building blocks of modern electronic devices and can be found in almost all electronic circuits.4. Diode(二极管)A diode is a two-terminal electronic component that allows current to flow in only one direction. It has a P-N junction formed by connecting a P-type semiconductor and an N-type semiconductor. Diodes are commonly used in rectifying circuits, voltage regulators, and signal demodulation.5. Field-Effect Transistor (FET)(场效应晶体管)A field-effect transistor, or FET, is a type of transistor that uses an electric field to control the flow of current. It has three terminals: the source, the gate, and the drain. FETs are widely used in digital circuits, as well as in analog applications such as amplifiers.6. Analog-to-Digital Converter (ADC)(模数转换器)An analog-to-digital converter, or ADC, is a device that converts analog signals into digital signals. It is commonly used in communication systems, measurement instruments, and digital audio applications to convert continuous analog signals into discrete digital representations.7. Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET)(金属氧化物半导体场效应晶体管)A metal-oxide-semiconductor field-effect transistor, or MOSFET, is a type of transistor that uses a metal gate electrode to control the flow of current. It is widely used in digital integrated circuits and offers advantages such as low power consumption and high switching speeds.8. Bandgap(能隙)Bandgap is the energy range in a solid material where no electron states can exist. It represents the minimum energy required to excite an electron from the valence band to the conduction band. The bandgap determines the electrical and optical properties of a semiconductor material.9. Photovoltaic (PV) Cell(光伏电池)A photovoltaic cell, or PV cell, is a device that converts sunlight directly into electricity by the photovoltaic effect. It is made up of semiconductor materials that absorb photons and generate a voltage difference across its terminals. PV cells are used in solar panels to generate renewable energy.10. Electromigration(电迁移)Electromigration is the phenomenon in which metal atoms in a conductor migrate under the influence of high current density. This can lead to the formation of voids and eventual failure of the conductor. Electromigration is a significant reliability issue in integrated circuits and is mitigated through proper design and fabrication techniques.以上是一些常见的半导体领域英语术语,了解这些术语有助于更好地学习和理解半导体电子学和电路设计的知识。
半导体专业术语英语..
半导体专业术语英语半导体是当今最重要的技术领域之一。
随着半导体技术的不断发展,半导体专业术语英语越来越重要。
在本文中,我们将介绍一些常见的半导体专业术语英语,帮助读者更好地理解和掌握半导体技术。
基本概念1.Semiconductor:半导体2.Doping:掺杂3.Carrier:载流子4.Hole:空穴5.Electron:电子6.Bandgap:能隙7.Mobility:迁移率8.Resistivity:电阻率9.Conductivity:电导率10.PN Junction:PN结11.Schottky Junction:肖特基结半导体晶体结构1.Crystal:晶体ttice:晶格3.Unit Cell:单元胞4.Wafer:晶片5.Silicon Wafer:硅晶片6.Epitaxy:外延7.Deposition:沉积8.Etch:蚀刻9.Annealing:退火典型器件1.Transistor:晶体管2.Diode:二极管3.Capacitor:电容器4.Resistor:电阻器5.Inductor:电感器6.MOSFET:MOS场效应晶体管7.BJT:双极性晶体管8.LED:发光二极管9.IGBT:绝缘栅双极晶体管10.SCR:可控硅制程工艺1.Lithography:光刻2.Ion Implantation:离子注入3.Chemical Vapor Deposition (CVD):化学气相沉积4.Physical Vapor Deposition (PVD):物理气相沉积5.Wet Etch:湿法蚀刻6.Dry Etch:干法蚀刻7.Annealing:退火8.Configurations:构型9.Metrology:计量学10.Yield:良率11.Process Integration:制程集成半导体技术对现代社会的影响越来越大,而英语是半导体专业中的重要工具之一。
学习和掌握半导体专业术语英语,有助于提高在半导体行业的各种交流和合作能力。
半导体专业英语词汇
半导体专业词汇1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体专业英语词汇
半导体专业词汇1. acceptance testing (WA T: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体专业术语英语综述
1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
半导体常用英语
1.Wafer Mount---贴膜2.Die Saw---芯片切割3.Die Attach---粘片4.Wire Bond---焊线5.Molding---模封6.Cropping---切筋7.Tin-dipping---浸锡8.Deflashing---去毛刺21.Travel log---随工单bine---合并23.Split---分开24.Hold---暂时控制住25.Release---释放26.Tester---测试机27.Handler---抓放机28.Program---程序41.Electrical---电的42.Theory---理论43.Interface---界面44.Advantage---优点45.Assembly---装配46.Result---结果47.Message---信息48.Wafer---晶圆通用部分I9.Marking---打印10.Testing---测试11.Packing---包装12.Raw Line---外观检查工位13.Frame---基板14.Molding Compound---模封材料15.Pellet---子弹16.Substrate---基板(BGA)17.Gold Wire---金线18.Al Wire---铝线19.Cu Wire---铜线20.Glue---银胶29.Yellow---黄色30.Black---黑色31.Red---红色32.Green---绿色33.White---白色34.Operator---操作员35.Technician---技术员36.Engineer---工程师37.Machine---机器38.Double---双份39.Power---动力,能源plaint---投诉49.Dangerous---危险50.Warning---警告51.Open---打开52.Close---关闭53.Agree---同意54.Refuse---拒绝55.Keep---保持56.Start---开始57.Stop---停止58.Everyday---每天59.Waiting---等待60.Paused---暂停61.Begin---开始62.Other---其他63.Setting---设置puter---电脑65.Quantity---数量66.Quality---质量67.Parameter---参数68.Monday---星期一81.Month---月82.Year---年83.Sensor---传感器84.Shuttle---往复装置85.Empty---空的86.Temperature—温度-87.Normal---正常88.Soak---浸泡101.WIP---待料102.Cycle Time---循环时间103.Material---物料104.Continue---继续105.Offload---下料106.Onload---上料107.End---结束108.Jam---堵塞通用部分II69.Tuesday---星期二70.Wednesday---星期三71.Thursday---星期四72.Friday---星期五73.Saturday---星期六74.Sunday---星期日75.Roster---倒班表76.Morning---早晨77.Afternoon---下午78.Night---晚上79.Shift---班次80.Week---星期89.Yield---成品率90.Magazine---盒子91.Reject---拒收92.Total---总的93.Device---产品种类94.Process---工艺95.Scrap---废弃96.Supervisor---领班97.Superintendent---主管98.Manager---经理99.Idle---死机100.Oven---烤箱109.Reverse---反转110.Re-test---重测111.Object---目标112.Contact---接触113.Light---灯光114.Dark---黑暗115.Air---空气116.Stay---停留117.Stray Units---散落的产品118.Error---出错119.Situation---情况120.Key---钥匙121.Badge---工卡122.Position---位置123.Housekeeping---清洁124.Open---打开125.Cover---盖子126.Change---更换127.Lot---产品批次128.Mask---口罩141.QA---质量部142.CAR---关于问题产品的报告143.QC---质量检查员144.FOA gate---前期质量检查145.Audit---检查146.Out-going---出货工位147.Examination---考试148.K---千通用部分III129.Smock---工衣130.Gloves---手套131.Finger Cot---指套132.Tweezers---镍子133.Bin---测试分类134.Shoes---鞋子135.Training---培训136.Meeting---会议137.Discipline Letter---警告信138.OT---加班139.Annual Leave---年假140.Salary---工资lion---百万150.Hundred---百151.TPM---全面生产管理152.ESD---静电153.E-stop---紧急开关工位–BGA Die Saw1.mount---贴2.wafer---晶圆3.frame---框架4.blade---刀片5.tape---膜6.cassette---盒子pletion---完成8.loader---上料un-loader---21.cover---盖子22.device---产品23.data---数据24.saw---切割25.wafer---水26.elevator---升降机27.spindle---主轴28.sensor---感应器wheel---41.center---中心42.chip---崩边43.change---变换44.enter---确认45.height---高度9.出料10.initial---初始化11.open---打开12.air---空气13.pressure---压力14.failure---失败15.vacuum---真空16.alignment---校准17.ink---黑点18.die---芯片19.error---错误20.limit---限制29.轮子30.setup---测高31.rotary---旋转32.check---检查33.feed---进给34.cutter---切割35.speed---速度36.height---高度37.new---新38.shift---轮班39.pause---暂停40.clean---清洗工位–BGA Die Attach1.wafer---晶圆2.die---芯片3.attach---粘贴4.glue---银胶5.substrate---基板6.magazine---盒子7.inspection---检查8.parameter---参数manual---21.statistics---统计22.calibration---校正23.bond---贴片24.conversion---改机25.thickness---厚度26.tilt---倾斜度27.shape---形状28.adjust---调整contact---41.ring---铁圈9.操作手册10.reset---重设11.enter---确定12.error---错误13.input---输入14.speed---速度15.stop---停止16.pressure---压力17.vacuum---真空18.sensor---传感器19.back side---背面20.pin---针29.接触30.cover---覆盖31.device---产品32.chip---崩边33.pause---暂停34.elevator---升降机35.initial---初始化36.alignment---校准37.ink---黑点38.cassette---盒子39.tape---膜40.frame---框架工位–BGA Wire Bond1.Parameter---参数2.Statistics---统计3.Utility---应用4.Teach---教习5.Bond tip offset—焊线点纠偏6.Contact search---接触测高7.Zoom off center---放大倍数偏心校准8.Calibration---校准18.Wire threading—送线器19.EFO ---电子打火20.Linear power ---线性马达21.Vacuum sensor---真空感应器22.Step driver—步进驱动23.Post bond inspection—焊接后检查24.Wire pull—拉线25.Ball shape—推球35.peeling---拔铝垫(扯皮)36.Bond off---脱焊37.Ball deformation—焊球变形38.servo motor—伺服电机9.BQM---焊接质量控制10.PR—pattern recognition—图像识别11.Alignment tolerance—对点偏差12.PR indexing—图像控制下的步进13.Capillary---焊线劈刀14.Wire spool—送线卷轴15.Window clamp—窗口夹板16.Transducer—功率换能器17.FTN---功能键26.Ball size—焊球大小27.Ball thickness—焊球高度28.Loop height—线弧高度29.Loop shape—线弧形状30.Neck crack—线颈折损31.Fine adjust –精确调整32.Conversion –换产品33.1st bond non stick—第一点不粘34.2nd bond non stick—第二点不粘工位–BGA Molding & Plasma I1.Semiconductor---半导体2.Molding –模封3.Onload---上料4.Offload –出料5.Belt —皮带6.Preheater turntable –预热转盘7.Transfer---传送8.Safety Door---安全门21.Cull bin –垃圾箱22.Pin---针23.Vacuum pump—真空泵24.Mornitor –显示器25.Cable –导线26.Profile---温度曲线27.Alarm---报警28.Error---错误41.Cylinder –汽缸42.Bearing –轴承43.Stop---停止44.Emergency Stop---紧急停止45.Gripper --夹子46.Heat –加热器47.Pipe –管子9.Pick and place –机械手10.Motor---马达11.Station –模腔12.Cleaning brush—清洁刷13.Cylinder---气缸14.Sensor---传感器15.Solenoid---电磁阀16.Turn over –翻转器17.Degate –切料口18.Bearing---轴承19.Picker---爪子20.Pusher –推动器29.Driver---驱动30.Sensor –感应器31.Inspection---检查32.Parameter---参数33.Manual---手动,手册34.Reset---复位35.Initialing---初始化36.Guide –导轨37.Substrate---基板38.Device---产品种类39.Lot Traveller---随工单40.Magazine---盒子48.Temperature---温度49.Hopper –漏斗press air –压缩空气51.Over flow—反面漏胶工位–BGA Molding & Plasma II52.Semiconductor---半导体53.Molding –模封54.Plasma –离子55.Operation –操作56.Flange –法兰盘57.Pump –泵58.Chamber –腔体59.Vent –气孔60.Value –值61.Filament –-灯丝62.Filament holder –灯丝座63.Alarm---报警64.Error---错误65.Inspection---检查66.Parameter---参数67.Manual---手动,手册68.Reset---复位69.Initialing---初始化工位–BGA Laser Marking1.Parameter---参数2.Statistics---统计3.Utility---应用4.Marking Fixture—框架ser Marking—激光6.Diode—二极管7.Power line—灯管式8.Power supply—电流17.Motor—马达18.Driver—驱动器19.Mouse—鼠标20.Fan—风扇21.Wire—线22.Connection—连接23.Jam—阻挡24.Sensor—传感器32.Reset –复位mp –灯管34.Keyboard –键盘35.Step –步进36.Alarm –报警37.Error –错误38.Microcard –微型控制卡39.Control –控制9.Input loader—进料负载10.Output loader—出料负载11.Input track—进料轨道12.Marking track—打印轨道13.Chammber—箱子14.Filter –过滤器15.Tuke –水管16.Semiconductor---半导体25.Cylinder—气缸26.Water tempreture—水温27.Current –电流28.V oltage—电压29.Frequency –频率30.Fine adjust –精确调整31.Conversion –换产品工位–BGA SBP1.Semiconductor---半导体2.Solder Ball Placement---放球3.Onload---上料4.Pusher---推杆5.belt—皮带6.Timing Belt---同步带7.Transfer---传送8.Safety Door---安全门21.Flux Head---助焊剂头22.Pin---针23.Reject Station---淘汰位置24.Offload---下料25.Reflow Oven---回流焊炉26.Profile---温度曲线27.Alarm---报警28.Error---错误41.Missing Ball---少球42.Double Ball---两个球43.Stop---停止44.Emergency Stop---紧急停止45.Input Card---输入卡46.Elevator---升降机47.Speed---速度9.Break---抱闸10.Motor---马达11.Serve Motor---伺服马达12.Step Motor---步进马达13.Cylinder---气缸14.Sensor---传感器15.Solenoid---电磁阀16.Axis---舟17.Flange---法兰18.Bearing---轴承19.Picker---爪子20.Ball Head---球头29.Driver---驱动30.Shuttle---往复传送机31.Inspection---检查32.Parameter---参数33.Manual---手动,手册34.Reset---复位35.Initialing---初始化36.Flux---助焊剂37.Substrate---基板38.Device---产品种类39.Lot Traveller---随工单40.Magazine---盒子48.Temperature---温度49.Teach Box---专用调试盒工位–BGA SSS1.Onload---上料2.Shuttle---小车3.Arm---臂4.Turret ---小塔5.Orientation---方向6.X-Y table---二维平台7.Good boat---好的产品座8.Reject boat---次品座21.Water pressure---水压22.Water curtain---水帘23.Solenoid---螺线管24.Safety door---安全门25.Flipper ---翻转板26.Carrier---传送带27.Timing belt---同步带28.Belt---皮带9.Sensor---传感器10.Cylinder---汽缸11.Motor---电机12.Step motor---步进电机13.Flow---流动14.Water pump---水泵15.Wash---洗16.Blade high---刀高17.Cutting channel---切道18.Misalignment---切偏19.Blade chip---崩裂20.Tube---管子29.Wheel---皮带轮30.Tray ---产品托盘31.Substrate---基板32.Air gun---气枪33.Air pressure---空气压力34.Cotton stick---棉签35.Alcohol---酒精36.Transfer---变压器37.Monitor---显示器38.Bearing---轴承39.Flange bearing---法兰轴承40.Microscope---显微镜BGA Testing (Process)1.Tray---产品托盘2.Unit ---一粒芯片3.Product/Device---产品4.Lot ---一批产品5.Travelog---随工单6.Open/Short(O/S)---开路/短路7.Function Reject---功能失败芯片8.Parameter Reject---参数失败芯片21.QA Sample---QA抽样22.QA Retest---QA 重测23.FT Program---生产程序24.QA Program---QA 抽样程序25.Test ---测试26.Retest---重新测试27.Sample---抽样28.Resample---重新抽样41.MPG ---存储产品组42.Cycling W/E ---循环写/擦除43.Burn-in ---一种预先发现潜在质量问题的测试44.DUT ---在测产品9.Cross Unit---没有晶元的芯片10.Die---晶元11.Qty---数量12.FE/Front End---前端(晶元工厂)13.BE/Back End---后端(封装测试厂)14.Test Program---测试程序15.Yield---成品率16.Output/Throughput---产量17.Hold---保留在本工位18.Release---可以放到下个工位19.Bin 1---测试通过的产品20.QA/QC---质量保证/控制29.Bake---烘烤30.Oven ---烤箱31.Tempareture---温度32.Duration---做某事的持续时间33.UI/User Interface---用户界面34.VM/Visual Mechanical Inspection---外观检查或机械检查35.Crack---裂开36.Scratch---划伤或擦伤37.SBL---各个Bin的统计限制值38.Wip---等待作业的产品D---蜂窝通讯事业部40.IMG---图象产品事业部工位–BGA Testing(Tester)1.Device Interface Board(DIB)芯片测试接口板2.Digital signal processing(DSP)数字信号处理3.DUT测试芯片4.A/D(analog-to-digital)converter模拟信号转换为数字信号仪5.Checker诊断程序6.EOT测试结束7.Hardware bin HANDLER分BIN信号8.High-Speed Digital(HSD)Instrument高速数字测试设备9.IMAGE交互式菜单图形系统21.Per Pin Parametric Unit(PPMU)单个pin参数测试单元22.Precision AC Subsystem II(PACSII)精密的交流测试设备23.Power Distribution Unit(PDU)电源配置器24.Mixed-Signal混合信号25.Multi-site test多位点并行测试26.Source信号源27.Digitizer数字化仪28.DSIO数字信号I/O29.Tester in a test head测试头全包容方式10.Initialize初始化11.Pinmap被测芯片管脚分配表12.Standard Test Data Format(STDF)标准测试数据格式13.Station Monitor显示测试结果的窗口14.Test computer测试机电脑15.Test function测试函数16.Test head测试头17.Test limit测试结果的上下限18.Test number测试号19.Test parameter测试参数20.Loop循环测试30.Universal Slots通用插槽31.Manipulator操纵架32.Cabinet机柜33.Electrostatic discharge(ESD)静电释放34.D/A(digital-to-analog)converter数字信号转换为模拟信号35.A/D(analog-to-digital)converter模拟信号转换为数字信号仪工位–BGA Testing(handler1)1.Handler---机械手2.Tray---(放产品的)盘子3.Bin---测试后产品的分类(一般Bin1表示好的,Bin6开短路,Bin7参数问题)4.O/S ---open/short,open开路,short短路)5.PARA---parameter 参数6.Cross unit---内部没有晶片的废品7.Unit—个体,单位,表示单个产品8.Device—产品19.Shuttle-in---进料运送装置20.Shuttle-out---出料运送装置21.Rotary Plunger---旋转测试头22.Hook—钩23.Contactor ---测试时压紧产品的装置24.Socket---测试座25.Pogo pin—测试针26.Allen Key--内六角扳手9.IC---集成电路(器件)10.DIB---Device Interface Board,产品接口板,用于连结产品和测试机11.Docking---用于连接机械手和测试机以确保产品能被良好接触加电的机械装置12.Manipulator--操纵器13.Terminal---控制终端14.DC—Device Carrier,传送产品的容器15.Screen---屏幕16.ATM-in—Automatic Tray Module-in 进料自动料盘传送装置17.ATM-out—Automatic Tray Module-out 出料自动料盘传送装置18.P&P(PNP)—Pick and Place,拿和放27.CUH---Contact Unit Holder28.Loader ---进料器29.Unloader---出料器30.Buffer—缓冲器31.Pre-centering—位置预修正装置32.Transfer—运送器33.Input---进料34.Output---出料35.Elevator---升降机36.Pre-heater---预热装置37.Ball Screw—传动螺杆38.Linear Guide---直线导轨工位–BGA Testing(handler2)1.Spring---弹簧2.Axis---轴线3.Plunger head---测试头4.DDD-Double Device Detection(重叠产品检测)5.Fibre---光纤维6.Encoder—编码器7.Binary—二进制8.Cable—电缆(线)21.Password—口令22.Menu---菜单23.Statistics—统计表24.Reset---复位25.Alarm ---报警26.Error---错误27.V-head—真空头28.Vacuum chuck (pad)—真空吸盘29.Regulator---调整器9.Tumble---翻转10.Rotate---旋转11.Twist---扭转12.Theta–角度13.Movement---运动14.Shifter---移动装置15.Vacuum---真空16.Brake—刹车17.EMO—Emergency Off,紧急停止18.Esc—Escape,退出19.Cover—盖子20.Amplifier—放大器30.Main power ---主电源31.Switch---开关,转换32.Enable—使能33.Disable—使失效34.Tray Stocker—料盘存放器35.Bush---衬套36.Magnetic---磁的37.Stroke—行(冲)程38.Jam---堵塞39.Drop—落下40.CDA–Compressed Dry Air,压缩空气工位–BGA Packing1.Packing--包装2.Tape--编带3.Leader--导带4.Trailer--尾带5.Pocket--格子6.Overlay--偏带bine--合并8.Split--分割9.Vacuum--真空21.Warpage--翘曲22.Scratch--划痕23.Crack--裂缝24.Chip--磞裂25.V oid--气孔26.Bubble--气泡27.Threshold--阈值bel--标签29.Contrast--对比度41.Transport--传送42.Damaged ball --坏球43.Protecting bar --防护带44.Ball bridging --球连体45.Tail end--尾数46.Peel force--拉力47.Ball height--球高48.Wrong orientation --错误方向49.Ball pitch--球间距10.Sealing--封合11.Tray--盘12.Vision--视觉13.Inspecting--检查14.Scanning--扫描15.Ball--球体16.Marking--打印标记17.Co-planarity--共面度18.Diameter--直径19.Reel--卷,卷盘20.Delamination--分层30.Humidity--湿度31.Indicator--指示卡32.Illumination--灯光33.Quality--质量34.Tolerance--工差35.Outline--外形/轮廓36.Dimension--尺寸37.Acceptance--接受38.Criteria--标准39.Parameter--参数40.Initialize--初始化50.Empty pocket --空格51.Short quantity --少数52.Over quantity --多数53.Carrier tape --载带54.Cover tape--盖带55.Sealing time --封合时间56.Double unit --重叠器件•Computers and Mathematics(计算机部分) •Manager of Network Administration 网络管理经理•MIS Manager 电脑部经理•Project Manager 项目经理•Technical Engineer 技术工程师•Developmental Engineer 开发工程师•Systems Programmer 系统程序员•Administrator 局域网管理员•Operations Analyst 操作分析•Computer Operator 电脑操作员•Product Support Manager 产品支持经理•Computer Operations Supervisor 电脑操作主管•Human Resources(人力资源部分)•Director of Human Resources 人力资源总监•Assistant Personnel Officer 人事助理•Compensation Manager 薪酬经理•Employment Consultant 招募顾问•Facility Manager 后勤经理•Job Placement Officer 人员配置专员•Labor Relations Specialist 劳动关系专员Recruiter 招聘人员•Training Specialist 培训专员•Vice-President of Human Resources 人力资源副总裁•Director of Information Services 信息服务主管•Systems Engineer 系统工程师•Hardware Engineer 硬件工程师•Applications Programmer 应用软件程序员•Information Analyst 信息分析•LAN Systems Analyst 系统分析•Statistician 统计员•Assistant Vice-President of Human Resources 人力资源副总裁助理•Personnel Manager 职员经理•Benefits Coordinator 员工福利协调员•Employer Relations Representative 员工关系代表•Personnel Consultant 员工顾问•Training Coordinator 培训协调员•职位名称中英文对照表•Marketing and Sales(市场与销售部分)•Vice-President of Sales 销售副总裁•Senior Customer Manager 高级客户经理•Sales Manager 销售经理•Regional Sales Manager 地区销售经理•Merchandising Manager 采购经理•Sales Assistant 销售助理•Wholesale Buyer 批发采购员•Tele-Interviewer 电话调查员房地产评估师•Assistant Customer Executive 客户管理助理•Marketing Intern 市场实习•Marketing Director 市场总监•Insurance Agent 保险代理人•Customer Manager 客户经理•Vice-President of Marketing 市场副总裁•Regional Customer Manager 地区客户经理•Sales Administrator 销售主管•Telemarketing Director 电话销售总监•Advertising Manager 广告经理•Travel Agent 旅行代办员•Real Estate Appraiser•Marketing Consultant 市场顾问•Marketing and Sales Director 市场与销售总监•Market Research Analyst 市场调查分析员•Manufacturer\'s Representative 厂家代表•Director of Subsidiary Rights 分公司权利总监•Sales Representative 销售代表•Retail Buyer 零售采购员•Real Estate Manager 房地产经理•Salesperson 销售员•Telemarketer 电话销售员•Sales Executive 销售执行者•Marketing Assistant 市场助理•Real Estate Broker 房地产经纪人•Purchasing Agent 采购代理•Product Developer 产品开发•Marketing Manager 市场经理•Advertising Coordinator 广告协调员•Advertising Assistant 广告助理•Ad Copywriter(Direct Mail) 广告文撰写人•Customer Representative 客户代表•Executive and Managerial(管理部分)•Chief Executive Officer(CEO) 首席执行官•Director of Operations 运营总监•Vice-President 副总裁•Branch Manager 部门经理•Retail Store Manager 零售店经理•HMO Product Manager 产品经理•Operations Manager 操作经理•Assistant Vice-President 副总裁助理•Field Assurance Coordinator 土地担保协调员•Management Consultant 管理顾问•District Manager 市区经理•Hospital Administrator 医院管理•Import/Export Manager 进出口经理•Insurance Claims Controller 保险认领管理员•Property Manager 房地产经理•Claims Examiner 主考官•Controller(General) 管理员•Service Manager 服务经理•Manufacturing Manager 制造业经理•Vending Manager 售买经理•Telecommunications Manager 电信业经理•Transportation Manager 运输经理•Warehouse Manager 仓库经理•Assistant Store Manager 商店经理助理•Manager(Non-Profit and Charities) 非盈利性慈善机构管理•Program Manager 程序管理经理•Insurance Coordinator 保险协调员•Project Manager 项目经理•Inventory Control Manager 库存管理经理•Regional Manager 区域经理•Chief Operations Officer(COO) 首席运营官•General Manager 总经理•Executive Marketing Director 市场行政总监•Controller(International) 国际监管•Food Service Manager 食品服务经理•Production Manager 生产经理•Administrator 医疗保险管理。
半导体专业英语词汇
半导体专业词汇1. acceptance testing (W AT: wafer acceptance testing)2。
acceptor: 受主,如B,掺入Si中需要接受电子3。
ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5。
Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7。
Alloy:合金8。
Aluminum:铝9. Ammonia:氨水10。
Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13。
Analog:模拟的14。
Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16。
AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19。
Argon(Ar)氩20. Arsenic(As)砷21。
Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23。
Asher:去胶机24。
Aspect ration:形貌比(ETCH中的深度、宽度比)25。
Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26。
Back end:后段(CONTACT以后、PCM测试前)27。
Baseline:标准流程28. Benchmark:基准29。
Bipolar:双极30. Boat:扩散用(石英)舟31. CD: (Critical Dimension)临界(关键)尺寸。
半导体行业英语专业术语
半导体行业英语专业术语1.Angle of incidence:入射角。
2.Dielectric:介电质。
3.Epitaxial Growth:外延生长。
4.Junction:结。
5.MOS transistor:MOS晶体管。
6.Lithography:光刻。
7.Photoresist:光刻胶。
8.Picking:取片。
9.Reflow soldering:热风焊接。
10.Deposition:沉积。
11.Diffusion:扩散。
12.Doping:掺杂。
13.Epitaxy:外延。
14.Furnace:炉。
15.Gate oxide:栅极氧化层。
16.Grinding:研磨。
17.Ion Implantation:离子注入。
18.Polishing:抛光。
19.Substrate:基底。
20.Chip:芯片。
21.Wafer:晶圆。
22.Yield:良率。
23.Masking:掩模。
24.Electrical Characterization:电性测试。
25.Suitability Test:可靠性测试。
26.Failure Analysis:失效分析。
27.Annealing:退火。
28.Threshold Voltage:阈值电压。
29.Voltage Transfer Curve:电压传递曲线。
30.Contact Resistance:接触电阻。
31.Electromigration:电迁移。
32.Inspection:检验。
33.CMP:表面处理。
34.CVD:化学气相沉积。
35.Metallization:金属化。
36.Microscopy:显微镜。
37.Ohmic Contact:正性接触。
38.Oxidation:氧化。
39.PECVD:电演化学气相沉积。
40.Photolithography:光刻工艺。
41.Sputtering:溅射。
42.Thermal Oxidation:热氧化。
半导体制造专业英语术语
球栅阵列舞厅式布局,超净间的布局 圆桶型反应室 阻挡层金属势垒电压backing film 背膜baffle vt ・ 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇 编 (挡片块)丨 基极,基区 batch 批 bay and chase beam blow-up离子束膨胀 beam deceleration 束流减速分类代码号双极双极技术(工艺) bird ' s beak effect 鸟嘴效应blanket deposition 均厚淀积blower增压泵boat 舟BOE 氧化层刻蚀缓冲剂Bon voyage [法]再见,一路顺风[平安]bonding pads 压点bonding wire 焊线,引线boron(B) 硼boron trichloride(BCL3) 三氯化硼boron trifluoride (B F3)三氟化硼borophosphosilicate glass(BPSG)硼磷硅玻璃borosilicate glass(BSG) 硼硅玻璃bottom antireflective coating(BARC)下减反射涂层boule单晶锭bracket n.墙上凸出的托架,括弧,支架v.括在一起breakthrough step 突破步骤,起始的干法刻蚀步骤brightfield detection 亮场检查brush scrubbing 涮洗bubbler 带鼓泡槽buffered oxide etch(BOE) 氧化层腐蚀缓冲液bulk chemical distribution 批量化学材料配送bulk gases 大批气体bulkhead equipment layout 穿壁式设备布局bumped chip 凸点式芯片buried layer 埋层burn-box 燃烧室(或盒) burn-in 老化CCA 化学放大(胶) cantilever n. 建]悬臂cantilever paddle 悬臂桨cap oxide 掩蔽氧化层capacitance 电容capacitance-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器carbon tetrafluoride(CF4) 四氟化碳caro ' s acid3 号液carrier 载流子carrier-depletion region 载流子耗尽层carrier gas 携带气体cassette (承)片架cation 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD- SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面center slow 中心慢速central processing unit(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Channel 沟道channel length 沟道长度channeling 沟道效应charge carrier 载流子chase技术夹层chelating agent 螯合齐ijchemical amplification(CA) 化学放大胶chemical etch mechanism 化学刻蚀机理chemical mechanical planarization(CMP) 化学机械平坦化chemical solution 化学溶液chemical vapor deposition(CVD) 化学气相淀积chip 芯片chip on board(COB)板上芯片chip scale package(CSP)芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级另卩cleanroom 净化间cleanroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficient n. [数]系数Coefficient of thermal expansion(CTE)热涨系数Coherence probe microscope 相干探测显微镜Coherent light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputtering 准直溅射Compensate v.偿还,补偿,付报酬Compound semiconductor 化合物半导体Concentration 浓度Condensation 浓缩Conductor 导体constantly adv・不变地,经常地,坚持不懈地Confocal microscope 共聚焦显微镜Conformal step coverage 共型台阶覆盖Contact 接触(孔)Contact alignment 接触式对准(光刻)Contact angle meter 接触角度仪Contamination 沾污、污染conti boat 连柱舟conticaster [冶]连铸机Continuous spray develop 连续喷雾显影Contour maps 包络图、等位图、等值图Contrast 对比度、反差contribution n.捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVD Copper interconnect 铜互连Cost of ownership(COO) 业主总成本Covalent bond 共价键Critical dimension 关键尺寸Cryogenic aerosol cleaning 冷凝浮质清洗Cryogenic pump(cryopump) 冷凝泵Crystal 晶体Crystal activation 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orientation 晶向CTE 热涨系数Current-driven current amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamascene 大马士革工艺darkfiled detection 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects density 缺陷密度defect 缺陷deglaze 漂氧化层degree of planarity(DP) 平整度dehydration bake 去湿烘培,脱水烘培density 密度deplention mode 耗尽型degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt ・存放,堆积vi.沉淀deposition 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT)可测试设计desorption 解吸附作用develop inspect 显影检查development 显影developer 显影液deviation n.背离device isolation 器件隔离device technology 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosilane(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alignment 逐个芯片对准dielectric 介质dielectric constant 介电常数die matrix 芯片阵列die separation 分片diffraction 衍射diffraction-limited optics 限制衍射镜片diffusion 扩散diffusion controlled 受控扩散digital/analog数字/模拟digital circuit diluent direct chip attach( DCA) directionality discrete dishing dislocation dissolution ratedissolution rate monitor(DRM) 溶解率监测DNQ-novolak 重氮柰醌一酚醛树脂Donor 施主dopant profile 掺杂刨面) doped虚拟的, region 掺杂区 doping 掺杂 dose monitor剂量检测仪 dose,Q 剂量 downstream reactor 顺流法反应 drain 漏 drive-in推进 dry etch 干法刻蚀 dry mechanical pump干式机械泵 dry oxidation 干法氧化dummy n.哑巴,傀儡,假人,假货 adj. 假的,虚构的 n.[计]哑元 dynamic adj. 动力的,动力学的,动态的 E economies of scale 规模经济 edge bead removal 边缘去胶 edge die 边缘芯片edge exclusion 无效边缘区域 electrically erasable PROM 电可擦除 EPROM electrode 电极 electromigration 电迁徙 electron beam lithography 电子束光刻electron cyclotron resonance 电子共振回旋加速器 electron shower 电子簇射,电子喷淋 electron stopping 电子阻止 electronic wafer map 硅片上电性能分布图 electroplating 电镀 electropolishing 电解拋光electrostatic chuck 静电吸盘 electrostatic discharge(ESD)静电放电 ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极 endpoint detection 终点检测 engineering n.工程(学) electrostatic discharge(EDX)能量弥散谱仪 enhancement mode 增强型 epi 夕卜延epitaxial layer 夕卜延层epoxy underfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器erosion腐蚀,浸蚀establish vt・建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch uniformity 刻蚀均匀性etchant 刻蚀剂etchback planarization 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporation 蒸发even adj.平的,平滑的,偶数的,一致的,平静的,恰好的,平均的,连贯的adv.[加强语气]甚至(・・・也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量extraction electrode 吸极extreme UV 极紫外线extrinsic silicon 掺杂硅F Fables无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furnaces 快速升降温炉fault model 失效模式FCC diamond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s lawsFICK 定律field-effect transistor 场效应晶体管field oxide 场氧化field-by-field alignment 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packaging 最终装配和圭寸装final test 终测first interlayer dielectric(ILD-1)第一层层间介质fixed oxide charge 固定氧化物电荷flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal length 焦距focal plane 焦平面focal point 焦点focus聚焦focus ion beam(FIB) 聚焦离子束footprint 占地面积formula n.公式,规则,客套语forward bias 正偏压four-point probe 四探针frenkel defect Frenkel 缺陷front-opening unified pod(FOUP)前开口盒functional test 功能测试furnace flat zone 恒温区G g-line G 线gallium(Ga)镓gallium arsenide(GaAs)砷化镓gap fill间隙填充gas 气体gas cabinet 气柜gas manifold 气瓶集装gas phase nucleation 气相成核gas purge 气体冲洗gas throughput 气体产量gate 栅gate oxide 栅氧化硅gate oxide integrity 栅氧完整性germanium(Ge) 错getter 俘获glass玻璃glazing 光滑表面global alignment 全局对准global planarization 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grown oxide layer 热氧化生长氧化层HHalogen 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic sealing 密圭寸heteroepitaxy 异质外延heterogeneous reaction 异质反应hexamethyldisilazane(HMDS)六甲基二硅氨烷high-density plasma(HDPCVD) 高密度等离子体化学气相淀积高温扩散炉 high-density plasma etch 高密度等离子刻蚀 high-pressure oxidation 高压氧化high-temperature diffusion furnace high vacuum 高真空 high vacuum pumps 高真空泵 hillock 小丘(铝)尖刺 homoepitaxy 同质外延 homogeneous reaction 同质反应 horizontal adj.地平线的,水平的 horizontal furnace 臣卜式炉 hot electron 热电子 hot wall 热壁 hydrochloric acid(HCL)盐酸 hydrofluoric acid(HF)氢氟酸 hydrogen(H2)氢气 hydrogen chloride(HCL)氯化氢 hydrogen peroxide(H2O2)双氧水 hydeophilic 亲水性 hydrophobic 憎水性,疏水性 hyperfiltration 超过滤Ii-line I 线IC packaging 集成电路封装IC reliability 集成电路可靠性 Iddq testing 静态漏电流测试 image resolution 图象清晰度 图象分解力implant v.灌输(注入) impurity 杂质 increment n.增力口,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母 in situ measurements 在线测量 index of refraction 折射率 indium 铟 inductively coupled plasma (ICP )电感耦合等离子体 inert gas惰性气体infrared interference 红外干涉ingot 锭ink mark墨水标识in-line parametric test 在线参数测试input/output(I/O)pin 输入/ 输出管脚institute n. 学会,学院,协会vt.创立,开始,制定,开始(调查),提起(诉讼) insulator 绝缘体integrate vt.使成整体,使一体化,求…的积分v.结合integrated circuit(IC)集成电路integrated measurement tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连interconnect delay 互连连线延迟interface-trapped charge 界面陷阱电荷interferometer 干涉仪interlayer dielectric(ILD) 层间介质interstitial 间隙(原子) intrinsic silicon 本征硅invoke v.调用ion 离子ion analyzer 离子分析仪ion beam milling or ion beam etching(IBE) 离子铣或离子束刻蚀ion implantation 离子注入ion implantation damage 离子注入损伤ion implantation doping 离子注入掺杂ion implanter离子注入机ion projection lithography(IPL) 离子投影机PVD ionization 离子化ionized metal plasma PVD 离子化金属等离子IPA vapor dry 异丙醇气相干燥isolation regions 隔离区isotropic etch profile各向同性刻蚀刨面JJEFT结型场效应管junction(pn) PN 结junction depth 结深junction spiking 结尖刺KKelvin绝对温度killer defect致命缺陷kinetically controlled reaction 功能控制效应L laminar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusion 横向扩散law of reflection 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compaction 透镜收缩light 光light intensity 光强light scattering 光散射lightly doped drain(LDD) 轻掺杂漏linear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区linewidth 线宽liquid 液体lithography 光刻loaded brush沾污的毛刷loaded effect 负载效应loadlock真空锁local interconnect(LI)局部互连local planarization 局部平坦化local oxidation of silicon(LOCOS)硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD) 低压化学气相淀积LSI大规模集成电路Mmagnetic CZ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputtering 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow controller(MFC) 质量流量计mass spectrometer 质谱仪mass-transport limited reaction 质量传输限制效应mathematical adj.数学的,精确的mean free path(MFP) 平均自由程medium vacuum 中真空adj. megasonic cleaning 超声清洗melt熔融membrane contactor薄膜接触器,隔膜接触器membrane filter薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metal contact 金属接触孔metal impurities 金属杂质metal stack复合金属,金属堆叠metallization 金属化metalorganic CVD金属有机化学气相淀积metrology 度量衡学microchip微芯片microdefect 微缺陷microlithography 微光刻microloading微负载,与刻蚀相关的深宽比micron微米microprocessor n.[计]微处理器microprocessor unit 微处理器microroughness 微粗糙度Miller indices 密勒指数minienvironment 微环境minimum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ionic contaminants(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt・更改,修改v.修改molecular beam epitaxy (MBE) 分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,样片monocrystal 单晶monolithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor curreant endpoint 电机电流终点检测(法) MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multilenel metallization 多重金属化Murphy's model 墨菲模型N nanometer(nm)纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negatine resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受) negatine resist development 负性光刻胶显影neutral beam trap 中性束陷阱next-generation lithography 下一代光刻技术nitric acid(HNO3)硝酸nitrogen(N2)氮气nitrogen trifluoride(NF3) 三氟化氮nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管noncritical layer 非关键层nonvolatile memory 非挥发性存储器normality 归一化notch 定位槽novolak苯酚甲醛聚树脂材料npn npn 型(三极管) n-type silicon n 型硅nuclear stopping 离子终止nucleation 成核现象,晶核形成nuclei coalescence 核合并numericalaperture(NA) 数值孑L径n-well n 阱Oobjective (显微镜的)物镜off-axis illumination(OAI) 偏轴式曝光,离轴式曝光ohmic contact 欧姆接触op amp 运算放大器optical interferometry endpoint 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correction(OPC)光学临近修正optical pyrometer 光学高温计optics 光学organic compound 有机化合物氧化诱生层积 vi.划桨,戏 out-diffusion 反扩散 outgassing 除气作用 overdrive 过压力 overetch step 过刻蚀 overflow rinser 溢流清洗 overlay accuracy 套准精度 overlay budget 套准偏差 overlay registration 套刻对准 oxidation 氧化 oxidation-induced stacking faults(OISF) 缺陷,氧化诱生堆垛层错 oxide 氧化物、氧化层、氧化膜 oxidezer 氧化齐ij oxide-trapped charge 氧化层陷阱电荷 ozone(O3)臭氧Ppackage 封装管壳 pad conditioning 垫修整 pad oxide 垫氧化膜 paddle 悬臂 n.短桨,划桨,明轮翼 水,涉水 vt ・用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(planar)reactor 平板反应parallel testing 并行测试 parameter 参数parametric test 参数测试 parasitic 寄生parasitic capacitance 寄生电容 parasiticresistance 寄生电阻 parasitic transistor 寄生电阻器 partial pressure 分压 particledensity 颗粒密度 particle per wafer perpass(PWP)每步每片上的颗粒 数passivation 钝化 passivation layer 钝化层passive components 无源元件pattern sensitivity 图形灵敏性patterned etching 图形刻蚀pattern wafer 带图形硅片patterning 图形转移,图形成型,刻印pc board 印刷电路版完成任务 p-channel MOSFETp 沟道 MOSFET PCM 工艺控制监测 PEB 曝光后烘焙 PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜 pentavalent 五价元素 perform vt ・ 履行,执行,表演,演出 v. performing adj. 表演的,履行的 perimete array 周边阵列式(圭寸装) pH scale pH 值 phase-shift mask(PSM) 相移掩膜技术 phosphine(PH3) 磷化氢 phosphoric acid(H3PO4)磷酸 phosphorus(P)磷 phosphorus oxychloride(POCL3)三氯氧磷 phosphosilicate glass(PSG)磷硅玻璃 photoacid generator(PAG)光酸产生剂 photoacoustics 光声的 photoactive compound(PAC)感光化合物 photography n.摄影,摄影术 光刻photolithography 光刻(技术) photomask 光掩膜 photoresist 光刻胶 photoresist stripping 去胶、光刻胶去除 physical etch mechanism 物理刻蚀机理 physical vapor deposition(PVD)物理气相淀积 pigtail 引出头 pin grid array(PGA) 针栅阵列式(封装)pinhole 针孑 L piranha 3 号液 pitch 间距 planar 平面 planar capacitor 平面电容 planar process 平面工艺 planarization 平坦化 plasma 等离子体 n.[解]血浆,乳浆,[物]等离子体,plasma-induced damage 等离子体诱导损伤plasma potential distribution 等离子体势分布plastic dual in-line package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packaging 塑料圭寸装plug塞,填充vt.堵,塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisson's model 泊松模型polarization 极化,偏振polarized light 极化光,偏振光polish拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishing loop 磨拋循环polishing pad 拋光(衬)垫polycide 多晶硅化物光刻胶显影post-develop inspection 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphization 预非晶化precursor 先驱物predeposition 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orientation flat 主定位边print bias光刻涨缩量printed circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober探针台process 工艺process chamber工艺腔,工艺反应室process chemical 工艺化学process control monitor(PCM)工艺控制监测(图形) process latitude工艺水平,工艺能力process recipe 工艺菜单programmable arraylogic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt・提示,鼓动,促使, (给演员)提白adj.敏捷的,迅速的,即时的adv.准时地n. DOS命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportional band 比例区,比例带,比例尺范围proximityaligner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率punchthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyrogenic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass analyzer (QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发random access memory(RAM) 随机存储器range射程rapid thremal anneal(RTA) 快速热退火rapid thermal processor(RTP)快速热处理RCA clean RCA 清洗reaction rate limited 反应速率限制reactive ion etch(RIE)反应离子刻蚀reactivity 反应性reactor反应室,反应腔read-only memory(ROM)只读存储器recombination 复合redistribution 再分布reflection spectroscopy 反射光谱仪reflective notching 反射开槽reflow回流refraction 折身寸refractory metal 难融金属regeneration 再生regeneration套准精度relative index of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt・重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA)残余气体分析器resist光刻胶resist development 光刻胶显影resistance 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO)反向渗透RF射频RF sputtering射频溅射rinse v嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughing pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scaling按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪scanning electron microscope(SEM)扫描电子显微镜scanning projection aligner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层scribe line 戈H 片道scribe line monitor(SLM)戈J片线监测scumming 底膜secondary electron 二次电子secondary electron flood 二次电子流secondary ion mass spectrometry(SIMS)二次离子质谱 (法) seed' s model SEE 模型selective etching 选择性刻蚀selective oxidation 选择性氧化selectivity 选择性semiconductor grade silicon 半导体极硅semiconductor 半导体sensitivity 灵敏度shallow trench isolation(STI)浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity,方块电阻率shot size胶(点)尺寸shrinking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon nitride(SI3N4)氮化硅silicon on sapphire 蓝宝石伤硅silicon on insulator(SOI)绝缘体上硅silicontetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅single crystal silicon 单晶硅silylation硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料single crystal 单晶slip滑移slurry磨料SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solvent 溶齐ijSOS蓝宝石上硅Source 源source drain implants 源漏注入spacer n.取间隔的装置,逆电流器spatial coherence 空间相干spatial signature analysis 空间信号分析specialty gase 特种气体species 种类specific gravity 比重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪spin coating光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric(SOD)旋转介质法spin-on-glass(SOG)旋转玻璃法spray cleaning 喷雾清洗spray rinser喷雾清洗槽spreading resistance probe 扩散电阻探测sputter n・喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputtering 溅射sputter etch溅射刻蚀sputtered aluminum 溅射铝sputtering yield 溅射产额SSI小规模集成电路stacking fault层积缺陷,堆垛层错standard clean 1(SC-1) 1 号清洗液standard clean 2(SC-2) 2 号清洗液standard mechanical interface(SMIF)机械标准接口standing wave 驻波static RAM静态存储器statistical process control ( SPC)统计过程控制step coverage台阶覆盖step height台阶高度step-and-repeat aligner 分步重复光刻机step-and-scan system步进扫描光刻机stepper步进光刻机stepping motor driver步进电机驱动器电路stepper步进光刻机stoichiometry化学计量(配比) staggle投射标准偏差stress应力striation 条纹strip vt・剥,剥去n. 条,带stripping 去胶structure 结构subatmospheric CVD亚大气压化学气相淀积submicron 亚微米sub-quarter micron 亚0・25微米substrate 衬底sublimation 升华substitutional atom 替位原子subtract v (〜from)减去,减subwaverlength lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage 测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompression bonding 热压键合thermocouple 热电偶thermogravimetric analysis (TGA) 热重量分析thermosonic bonding 热超声键合thin film 薄膜thin small outline package(TSOP)薄小型圭寸装川-V compound 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS(TOF -SIMS) 飞行时间二次离子质谱titanium silicide 钛硅化合物TLV极限域值top surface imaging 上表面图形topography 形貌torr 托toxic有毒track system(also track) 轨道系统transient enhanced diffusion(TED)瞬时增强扩散transistor 晶体管trench 槽trench capacitor 槽电容trichlorosilane(TCS or SiHCL3)三氯氢硅triode planar reactor三真空管平面反应室triple well 三阱trivalent 三价tungsten(W)钨tungsten stch back 钨反刻tungsten hexafluoride(WF6)六氟化钨tungstenplug钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin planes(twinning) 双平面twin-well(twin-tub)双阱UULSI甚大规模集成电路ultralow penetration air(ULPA)超低穿透空气ultrafiltration 超过滤ultrafine particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow junction 超声键合(压焊) ultraviolet 紫外线undercut 钻蚀uniformity 均匀性unit cell元包,晶胞unpatterned etching(spripping)无图形刻蚀(剥离) unpatterned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE)气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜vaporization 气化variable n.[数]变数,可变物,变量adj. 可变的,不定的,。
半导体专业英语词汇
半导体专业词汇1.a cceptance testing (WAT: wafer acceptance testing)2.a cceptor: 受主,如 B ,掺入 Si 中需要接受电子3.A CCESS :一个 EDA (Engineering Data Analysis )系统4.A cid :酸5.A ctive device :有源器件,如 MOS FET (非线性,可以对信号放大)6.A lign mark(key) :对位标记7.A lloy :合金8.A luminum :铝9.A mmonia :氨水10.A mmonium fluoride : NH4F11.A mmonium hydroxide : NH4OH12.A morphous silicon :α -Si ,非晶硅(不是多晶硅)13.A nalog :模拟的14.A ngstrom : A (1E-10m)埃15. Anisotropic :各向异性(如 POLY ETCH )16 . AQL(Acceptance Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17 . ARC(Antireflective coating) :抗反射层(用于 METAL 等层的光刻)18.A ntimony(Sb) 锑19.A rgon(Ar) 氩20.A rsenic(As) 砷21.A rsenic trioxide(As2O3) 三氧化二砷22.A rsine(AsH3)23.A sher:去胶机24.A spect ration :形貌比( ETCH 中的深度、宽度比)25.A utodoping :自搀杂(外延时 SUB 的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26.B ack end:后段( CONTACT 以后、 PCM 测试前)27.B aseline:标准流程28.B enchmark :基准29.B ipolar :双极30.B oat:扩散用(石英)舟31. CD :( Critical Dimension )临界(关键)尺寸。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
1. acceptance testing (WAT: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POLY ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。
在工艺上通常指条宽,例如POLY CD 为多晶条宽。
32. Character window:特征窗口。
用文字或数字描述的包含工艺所有特性的一个方形区域。
33. Chemical-mechanical polish(CMP):化学机械抛光法。
一种去掉圆片表面某种物质的方法。
34. Chemical vapor deposition(CVD):化学汽相淀积。
一种通过化学反应生成一层薄膜的工艺。
35. Chip:碎片或芯片。
36. CIM:computer-integrated manufacturing的缩写。
用计算机控制和监控制造工艺的一种综合方式。
37. Circuit design :电路设计。
一种将各种元器件连接起来实现一定功能的技术。
38. Cleanroom:一种在温度,湿度和洁净度方面都需要满足某些特殊要求的特定区域。
39. Compensation doping:补偿掺杂。
向P型半导体掺入施主杂质或向N型掺入受主杂质。
40. CMOS:complementary metal oxide semiconductor的缩写。
一种将PMOS和NMOS 在同一个硅衬底上混合制造的工艺。
41. Computer-aided design(CAD):计算机辅助设计。
42. Conductivity type:传导类型,由多数载流子决定。
在N型材料中多数载流子是电子,在P型材料中多数载流子是空穴。
43. Contact:孔。
在工艺中通常指孔1,即连接铝和硅的孔。
44. Control chart:控制图。
一种用统计数据描述的可以代表工艺某种性质的曲线图表。
45. Correlation:相关性。
46. Cp:工艺能力,详见process capability。
47. Cpk:工艺能力指数,详见process capability index。
48. Cycle time:圆片做完某段工艺或设定工艺段所需要的时间。
通常用来衡量流通速度的快慢。
49. Damage:损伤。
对于单晶体来说,有时晶格缺陷在表面处理后形成无法修复的变形也可以叫做损伤。
50. Defect density:缺陷密度。
单位面积内的缺陷数。
51. Depletion implant:耗尽注入。
一种在沟道中注入离子形成耗尽晶体管的注入工艺。
(耗尽晶体管指在栅压为零的情况下有电流流过的晶体管。
)52. Depletion layer:耗尽层。
可动载流子密度远低于施主和受主的固定电荷密度的区域。
53. Depletion width:耗尽宽度。
53中提到的耗尽层这个区域的宽度。
54. Deposition:淀积。
一种在圆片上淀积一定厚度的且不和下面层次发生化学反应的薄膜的一种方法。
55. Depth of focus(DOF):焦深。
56. design of experiments (DOE):为了达到费用最小化、降低试验错误、以及保证数据结果的统计合理性等目的,所设计的初始工程批试验计划。
57. develop:显影(通过化学处理除去曝光区域的光刻胶,形成所需图形的过程)58. developer:Ⅰ)显影设备;Ⅱ)显影液59. diborane (B2H6):乙硼烷,一种无色、易挥发、有毒的可燃气体,常用来作为半导体生产中的硼源60. dichloromethane (CH2CL2):二氯甲,一种无色,不可燃,不可爆的液体。
61. dichlorosilane (DSC):二氯甲硅烷,一种可燃,有腐蚀性,无色,在潮湿环境下易水解的物质,常用于硅外延或多晶硅的成长,以及用在沉积二氧化硅、氮化硅时的化学气氛中。
62. die:硅片中一个很小的单位,包括了设计完整的单个芯片以及芯片邻近水平和垂直方向上的部分划片槽区域。
63. dielectric:Ⅰ)介质,一种绝缘材料;Ⅱ)用于陶瓷或塑料封装的表面材料,可以提供电绝缘功能。
64. diffused layer:扩散层,即杂质离子通过固态扩散进入单晶硅中,在临近硅表面的区域形成与衬底材料反型的杂质离子层。
65. disilane (Si2H6):乙硅烷,一种无色、无腐蚀性、极易燃的气体,燃烧时能产生高火焰,暴露在空气中会自燃。
在生产光电单元时,乙硅烷常用于沉积多晶硅薄膜。
66. drive-in:推阱,指运用高温过程使杂质在硅片中分布扩散。
67. dry etch:干刻,指采用反应气体或电离气体除去硅片某一层次中未受保护区域的混合了物理腐蚀及化学腐蚀的工艺过程。
68. effective layer thickness:有效层厚,指在外延片制造中,载流子密度在规定范围内的硅锭前端的深度。
69. EM:electromigration,电子迁移,指由通过铝条的电流导致电子沿铝条连线进行的自扩散过程。
70. epitaxial layer:外延层。
半导体技术中,在决定晶向的基质衬底上生长一层单晶半导体材料,这一单晶半导体层即为外延层。
71. equipment downtime:设备状态异常以及不能完成预定功能的时间。
72. etch:腐蚀,运用物理或化学方法有选择的去除不需的区域。
73. exposure:曝光,使感光材料感光或受其他辐射材料照射的过程。
74. fab:常指半导体生产的制造工厂。
75. feature size:特征尺寸,指单个图形的最小物理尺寸。
76. field-effect transistor(FET):场效应管。
包含源、漏、栅、衬四端,由源经栅到漏的多子流驱动而工作,多子流由栅下的横向电场控制。
77. film:薄膜,圆片上的一层或多层迭加的物质。
78. flat:平边79. flatband capacitanse:平带电容80. flatband voltage:平带电压81. flow coefficicent:流动系数82. flow velocity:流速计83. flow volume:流量计84. flux:单位时间内流过给定面积的颗粒数85. forbidden energy gap:禁带86. four-point probe:四点探针台87. functional area:功能区88. gate oxide:栅氧89. glass transition temperature:玻璃态转换温度90. gowning:净化服91. gray area:灰区92. grazing incidence interferometer:切线入射干涉仪93. hard bake:后烘94. heteroepitaxy:单晶长在不同材料的衬底上的外延方法95. high-current implanter:束电流大于3ma的注入方式,用于批量生产96. hign-efficiency particulate air(HEPA) filter:高效率空气颗粒过滤器,去掉99.97%的大于0.3um的颗粒97. host:主机98. hot carriers:热载流子99. hydrophilic:亲水性100. hydrophobic:疏水性101. impurity:杂质102. inductive coupled plasma(ICP):感应等离子体103. inert gas:惰性气体104. initial oxide:一氧105. insulator:绝缘106. isolated line:隔离线107. implant : 注入108. impurity n : 掺杂109. junction : 结110. junction spiking n :铝穿刺111. kerf :划片槽112. landing pad n :PAD113. lithography n 制版114. maintainability, equipment : 设备产能115. maintenance n :保养116. majority carrier n :多数载流子117. masks, device series of n : 一成套光刻版118. material n :原料119. matrix n 1 :矩阵120. mean n : 平均值121. measured leak rate n :测得漏率122. median n :中间值123. memory n : 记忆体124. metal n :金属125. nanometer (nm) n :纳米126. nanosecond (ns) n :纳秒127. nitride etch n :氮化物刻蚀128. nitrogen (N2 ) n:氮气,一种双原子气体129. n-type adj :n型130. ohms per square n:欧姆每平方: 方块电阻131. orientation n:晶向,一组晶列所指的方向132. overlap n :交迭区133. oxidation n :氧化,高温下氧气或水蒸气与硅进行的化学反应134. phosphorus (P) n :磷,一种有毒的非金属元素135. photomask n :光刻版,用于光刻的版136. photomask, negative n:反刻137. images:去掉图形区域的版138. photomask, positive n:正刻139. pilot n :先行批,用以验证该工艺是否符合规格的片子140. plasma n :等离子体,用于去胶、刻蚀或淀积的电离气体141. plasma-enhanced chemical vapor deposition (PECVD) n:等离子体化学气相淀积,低温条件下的等离子淀积工艺142. plasma-enhanced TEOS oxide deposition n:TEOS淀积,淀积TEOS的一种工艺143. pn junction n:pn结144. pocked bead n:麻点,在20X下观察到的吸附在低压表面的水珠145. polarization n:偏振,描述电磁波下电场矢量方向的术语146. polycide n:多晶硅/金属硅化物,解决高阻的复合栅结构147. polycrystalline silicon (poly) n:多晶硅,高浓度掺杂(>5E19)的硅,能导电。