实验一-加法器的设计与实现讲解

合集下载

实验一四位串行进位加法器的设计实验报告

实验一四位串行进位加法器的设计实验报告

实验一四位串行进位加法器的设计一、实验目的1.理解一位全加器的工作原理2.掌握串行进位加法器的逻辑原理3.进一步熟悉Quartus软件的使用,了解设计的全过程,二、实验容1.采用VHDL语言设计四位串行进位的加法器2.采用画原理图的方法设计四位串行进位加法器三、实验步骤1、使用VHDL语言设计1.打开File—>New Project Wizard输入文件名adder4保存在D 盘,打开File—>New—>VHDL File,从模版中选择库的说明,use 语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后保存、编译。

打开File—>New—>Other File—>Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。

从Assignments—>Settings—>Simulator Settings —>Functional然后Processing—>Generate Functional Simnlation Netlist —>确定。

选择Start Simulation保存最后的波形图,打开File —>close关闭工程。

底层文件:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY fadder ISPORT(a, b,cin : IN STD_LOGIC;s, co : OUT STD_LOGIC);END fadder;ARCHITECTURE arc1 OF fadder ISBEGINs<=a xor b xor cin;co<=((a xor b)and cin)or(a and b);END arc1;顶层文件:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY adder4 ISPORT(c0: IN STD_LOGIC;a,b : IN STD_LOGIC_VECTOR(3 DOWNTO 0);s : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);c4 : OUT STD_LOGIC);END adder4;ARCHITECTURE arc2 OF adder4 ISCOMPONENT fadderPORT(a, b,cin : IN STD_LOGIC;s, co : OUT STD_LOGIC);END COMPONENT;SIGNAL carry : STD_LOGIC_VECTOR(3 DOWNTO 1); BEGINu1 : fadder PORT MAP (a=>a(0), b=>b(0),cin=>c0,s=>s(0),co=>carry (1));u2 : fadder PORT MAP (a=>a(1),b=>b(1),cin=>carry(1),s=>s(1),co=>carry (2));u3 : fadder PORT MAP (a=>a(2),b=>b(2),cin=>carry(2),s=>s(2),co=>carry (3));u4 : fadder PORT MAP(a=>a(3),b=>b(3),cin=>carry(3),s=>s(3),co=>c4);END arc2;2、使用原理图的方法设计打开File—>New Project Wizard输入文件名adder4保存在D 盘,打开File—>New—>VHDL File,从模版中选择库的说明,use 语句的说明,实体的说明,结构体的说明,编写VHDL代码,然后选择File-->Create/Update-->Create Symbol Files for Current File,选择File-->New-->Other File-->Vector Waveform File,查找引脚,从Edit中选择End Time 输入40、ns 保存。

加法器电路设计实验报告

加法器电路设计实验报告

加法器电路设计实验报告【加法器电路设计实验报告】一、实验目的本实验的主要目标是通过实际操作,设计并实现一个基础的加法器电路,以深入理解数字逻辑电路的设计原理和工作方式。

通过对半加器、全加器以及多位加法器的设计与搭建,进一步熟悉集成门电路的应用,掌握组合逻辑电路的设计方法,并能对电路的逻辑功能进行有效的验证与分析。

二、实验原理加法器是数字系统中的基本运算单元,其核心工作原理基于二进制数的加法规则。

在最基础的层面上,一个半加器(Half Adder)用于计算两个一位二进制数的和,同时产生一个进位输出;而全加器(Full Adder)在此基础上增加了处理来自低位的进位输入,可以完成三位二进制数的相加。

对于多位二进制数的加法,可以通过级联多个全加器来实现。

1. 半加器:由两个异或门(XOR)实现“和”输出,一个与门(AND)实现“进位”输出,即S=A XOR B,Cout=A AND B。

2. 全加器:除了接收两个数据输入A和B外,还接收一个进位输入Cin,同样由异或门计算“和”,但“进位”输出需要考虑三个输入的与或逻辑关系,即S=A XOR B XOR Cin,Cout=(A AND B) OR (B AND Cin) OR (A AND Cin)。

三、实验步骤1. 半加器设计:首先,利用集成电路库中的逻辑门元件构建半加器,将A 和B作为异或门的输入得到和信号S,将A和B分别连接到与门的两个输入端得到进位信号Cout。

2. 全加器设计:在半加器的基础上,增加一个输入端Cin代表低位的进位,同样运用异或门和与门组合形成全加器的逻辑结构,根据全加器的逻辑表达式连接各门电路。

3. 多位加法器设计:为了实现多位二进制数的加法,将若干个全加器按照从低位到高位的顺序级联起来,每级全加器的进位输出连接到下一级的进位输入。

四、实验结果及分析经过电路设计与仿真测试,成功实现了从半加器到多位加法器的功能转化。

当给定两组多位二进制数后,所设计的加法器电路能够准确无误地计算出它们的和,并正确显示进位信息。

74138的实验报告

74138的实验报告

74138的实验报告数字系统设计综合实验报告数字系统设计综合实验报告实验名称:1、加法器设计2、编码器设计3、译码器设计4、数据选择器设计5、计数器设计6、累加器设计7、交通灯控制器设计班级:姓名:学号:指导老师:实验1 加法器设计1) 实验目的(1) 复习加法器的分类及工作原理。

(2) 掌握用图形法设计半加器的方法。

(3) 掌握用元件例化法设计全加器的方法。

(4) 掌握用元件例化法设计多位加法器的方法。

(5) 掌握用Verilog HDL语言设计多位加法器的方法。

(6) 学习运用波形仿真验证程序的正确性。

(7) 学习定时分析工具的使用方法。

2) 实验原理加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。

目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。

加法器可分为1位加法器和多位加法器两大类。

1位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。

(1)半加器如果不考虑来自低位的进位而将两个1位二进制数相加,称半加。

实现半加运算的电路则称为半加器。

若设A和B是两个1位的加数,S是两者相加的和,C是向高位的进位。

则由二进制加法运算规则可以得到。

(2)全加器在将两个1位二进制数相加时,除了最低位以外,每一位都应该考虑来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称全加。

实现全加运算的电路则称为全加器。

若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向高位的进位。

则由二进制加法运算规则可以得到:3)(1)(2)(3) 实验内容及步骤用图形法设计半加器,仿真设计结果。

用原件例化的方法设计全加器,仿真设计结果用原件例化的方法设计一个4为二进制加法器,仿真设计结果,进行定时分析。

(4) 用Verilog HDL语言设计一个4为二进制加法器,仿真设计结果,进行定时分析。

实验一四位加法器和减法器设计

实验一四位加法器和减法器设计

实验一四位加法器和减法器设计一、实验背景在数字电路设计中,常常需要使用加法器和减法器来实现数字的加法和减法运算。

本实验的目的是设计一个四位加法器和一个四位减法器,将数字电路理论知识应用到实际电路设计中。

二、实验目的1.理解加法器和减法器的基本原理;2.掌握数字电路的设计方法;3.通过实验验证设计的正确性和可行性。

三、实验原理1.加法器原理加法器是一种能对两个二进制数进行相加运算的数字电路。

常用的加法器有半加法器、全加法器等。

其中,半加法器能够对两个1位二进制数进行相加运算,全加法器能对两个1位二进制数及一个进位进行相加运算。

2.减法器原理减法器是一种能对两个二进制数进行相减运算的数字电路。

减法器可以通过使用补码的方式进行实现。

四、实验设备和材料1.实验平台:数字电路实验箱;2.实验元件:逻辑门IC芯片、电路连接线等。

1.设计四位加法器电路:a.首先,设计并连接四个1位全加法器。

将输入端A、B和上一个全加法器的进位连线,将输出端S和进位连线,其中S为本全加法器的输出,进位作为下一个全加法器的输入。

b.最后一个全加法器的输出即为四位加法器的输出结果。

2.设计四位减法器电路:a.首先,将被减数输入端A和减数输入端B分别与减法器的输入端连接。

b.接下来,使用非门将减数B的每一位取反。

c.然后,将取反后的减数与被减数相加,得到相加结果。

d.最后,将相加结果输入到四位加法器电路中,即可得到减法结果。

六、实验验证2.搭建四位减法器电路,并输入A=1100、B=1010进行验证。

验证结果应为A-B=010。

七、误差分析及改进方法1.设计电路时要注意连接线的长度和接触的质量,以保证电路的正常运行。

2.如果电路不能正常工作,可以仔细检查电路连接是否正确,逐个排查错误并改正。

通过设计、搭建和验证的四位加法器和减法器电路,可以实现对二进制数的加法和减法运算。

九、实验心得通过本次实验,我深入了解了加法器和减法器的原理和实现方法。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言数字逻辑是计算机科学中的重要基础知识,通过对数字信号的处理和转换,实现了计算机的高效运算和各种复杂功能。

本实验旨在通过实际操作,加深对数字逻辑电路的理解和应用。

实验一:二进制加法器设计与实现在这个实验中,我们需要设计一个二进制加法器,实现两个二进制数的加法运算。

通过对二进制数的逐位相加,我们可以得到正确的结果。

首先,我们需要将两个二进制数输入到加法器中,然后通过逻辑门的组合,实现逐位相加的操作。

最后,将得到的结果输出。

实验二:数字比较器的应用在这个实验中,我们将学习数字比较器的应用。

数字比较器可以比较两个数字的大小,并输出比较结果。

通过使用数字比较器,我们可以实现各种判断和选择的功能。

比如,在一个电子秤中,通过将待测物品的重量与设定的标准重量进行比较,可以判断物品是否符合要求。

实验三:多路选择器的设计与实现在这个实验中,我们需要设计一个多路选择器,实现多个输入信号中的一路信号的选择输出。

通过使用多路选择器,我们可以实现多种条件下的信号选择,从而实现复杂的逻辑控制。

比如,在一个多功能遥控器中,通过选择不同的按钮,可以控制不同的家电设备。

实验四:时序电路的设计与实现在这个实验中,我们将学习时序电路的设计与实现。

时序电路是数字逻辑电路中的一种重要类型,通过控制时钟信号的输入和输出,实现对数据的存储和处理。

比如,在计数器中,通过时序电路的设计,可以实现对数字的逐位计数和显示。

实验五:状态机的设计与实现在这个实验中,我们将学习状态机的设计与实现。

状态机是一种特殊的时序电路,通过对输入信号和当前状态的判断,实现对输出信号和下一个状态的控制。

状态机广泛应用于各种自动控制系统中,比如电梯控制系统、交通信号灯控制系统等。

实验六:逻辑门电路的优化与设计在这个实验中,我们将学习逻辑门电路的优化与设计。

通过对逻辑门电路的布局和连接方式进行优化,可以减少电路的复杂性和功耗,提高电路的性能和可靠性。

实验报告01-1位加法器

实验报告01-1位加法器

实 验 __一__
【实验名称】
1位加法器
【目的与要求】
1. 掌握1位全加器的设计
2. 学会1位加法器的扩展
【实验内容】
1. 设计1位全加器
2. 将1位全加器扩展为4位全加器
3. 使4位的全加器能做加减法运算
【操作步骤】
1. 1位全加器的设计
(1) 写出1位全加器的真值表 (2) 根据真值表写出表达式并化简
(3) 画出逻辑电路 (4) 用quartusII 进行
功能仿真,检验逻辑电路是否正确,将仿真波形截图并粘贴于此 (5) 如果电路设计正确,将该电路进行封装以用于下一个环节
2.将1位全加器扩展为4位全加器
(1)用1位全加器扩展为4位的全加器,画出电路图
(2)分别用两个4位补码的正数和负数验证加法器的正确性(注意这两个数之和必须在4位补码的数的范围内,这两个数包括符号在内共
4位),用quartusII进行功能仿真并对仿真结果进行截图。

-5+3=-2:1011+0011=1110
3.将4位的全加器改进为可进行4位加法和减法的运算器
(1)在4位加法器的基础上,对电路进行修改,使该电路不仅能进行加法运算而且还能进行减法运算。

画出该电路
(2)分别用两个4位补码的正数和负数验证该电路的正确性(注意两个数之和必须在4位补码的数的范围内),用quartusII进行功能仿真
并对仿真结果进行截图。

3-5=-2: 0011 1 0101 1110
3+(-5)=-2 : 0011 0 1011 1110
【附录】。

4位二进制并行加法器的设计

4位二进制并行加法器的设计

实验一4位二进制并行加法器的设计1.实验目的:(1)学习使用Quartus II软件的基本用法(2)了解和掌握VHDL语言的语法规则和编程方法及基本流程(3)了解VHDL语言的基本结构2.实验内容用VHDL语言设计一4位二进制并行加法器。

参考设计思路:加法器是数字系统中的基本逻辑器件,减法器和硬件乘法器都可由加法器来构成。

多位加法器的构成有两种方式:并行进位和串行进位方式。

并行进位加法器设有进位产生逻辑,运算速度较快;串行进位方式是将全加器级联构成多位加法器。

并行进位加法器通常比串行级联加法器占用更多的资源。

随着位数的增加,相同位数的并行加法器与串行加法器的资源占用差距也越来越大。

因此,在工程中使用加法器时,要在速度和容量之间寻找平衡点。

实践证明,4位二进制并行加法器和串行级联加法器占用几乎相同的资源。

这样,多位加法器由4位二进制并行加法器级联构成是较好的折中选择。

3.实验要求(1)编写VHDL程序(2)记录系统仿真,画出时序图(3)记录实验过程中遇到的问题及解决办法4.程序设计5.生成RTL电路图6.仿真波形7.实验心得本周的实验是我学习该门课程进行的第一次实验,在实验过程中遇到了很多问题,比如:对Quartus II软件不熟悉,而且全是英文状态,不会使用软件的功能;编写程序时,多次报错,各种各样报错;编写程序完成后,成功编译了,但不会对仿真赋值等。

最后,我通过反复观看老师发的实验操作案例,并通过百度搜索相关的操作流程,翻阅教科书查找相关的解决方案。

第一次实验难免会遇到困难,最后经过我的不懈努力,终于把问题解决了,实验也很成功。

加法器实训实验报告

加法器实训实验报告

一、实验目的1. 理解加法器的基本原理和结构。

2. 掌握加法器的使用方法和调试技巧。

3. 通过实际操作,加深对数字电路基础知识的理解。

二、实验器材1. 实验箱2. 加法器芯片(如741)3. 逻辑分析仪4. 万用表5. 连接线6. 电源三、实验原理加法器是一种基本的数字电路,用于实现两个或多个数字的加法运算。

本实验以半加器和全加器为基础,通过级联实现多位数的加法运算。

1. 半加器:完成两个一位二进制数相加,并产生和与进位。

2. 全加器:在半加器的基础上增加一个进位输入端,实现多位数的加法运算。

四、实验步骤1. 搭建电路:- 将加法器芯片插入实验箱的相应位置。

- 根据实验要求,连接输入端、输出端和电源。

- 使用逻辑分析仪观察输入信号和输出信号。

2. 半加器测试:- 将两个一位二进制数输入到半加器的两个输入端。

- 观察逻辑分析仪的输出,验证半加器的功能。

3. 全加器测试:- 将两个一位二进制数和一个进位信号输入到全加器的三个输入端。

- 观察逻辑分析仪的输出,验证全加器的功能。

4. 多位数加法测试:- 将多位二进制数输入到全加器的相应输入端。

- 观察逻辑分析仪的输出,验证多位数的加法运算。

5. 实验结果分析:- 对比理论计算结果和实验结果,分析实验误差原因。

五、实验结果与分析1. 半加器测试:- 输入:A=0, B=0- 输出:和=0,进位=0- 输入:A=1, B=0- 输出:和=1,进位=0- 输入:A=0, B=1- 输出:和=1,进位=0- 输入:A=1, B=1- 输出:和=0,进位=12. 全加器测试:- 输入:A=0, B=0, 进位=0- 输出:和=0,进位=0- 输入:A=1, B=0, 进位=0- 输出:和=1,进位=0- 输入:A=0, B=1, 进位=0- 输出:和=1,进位=0- 输入:A=1, B=1, 进位=0- 输出:和=0,进位=13. 多位数加法测试:- 输入:A=1010,B=1101,进位=0- 输出:和=10111,进位=1实验结果表明,加法器能够实现预期的功能,实验结果与理论计算基本一致。

计算机组成原理加法器实验实训报告

计算机组成原理加法器实验实训报告

计算机组成原理加法器实验实训报告一、实验目的本次实验旨在通过实际操作加法器电路,加深对计算机组成原理中加法器的理解,掌握加法器的工作原理和实验操作技能。

二、实验内容1. 搭建基本加法器电路2. 进行加法器实验3. 分析实验结果并撰写实验报告三、实验器材和工具1. 电路实验箱2. 电源3. 电路连接线4. 示波器5. 多用途数字实验仪6. 逻辑门集成电路四、实验步骤1. 搭建基本加法器电路1) 将逻辑门集成电路插入电路实验箱中2) 连接逻辑门的输入端和输出端3) 接入电源并进行必要的调试2. 进行加法器实验1) 输入两个二进制数,并将其连接到逻辑门输入端2) 观察输出端的变化3) 调节输入信号,验证加法器的正确性和稳定性3. 分析实验结果1) 记录实验数据2) 分析实验结果,对比理论值和实际值的差异3) 总结实验中的经验和问题,并提出改进建议五、实验数据1. 输入数据:A = 1010B = 11012. 输出数据:Sum = xxxCarryout = 1六、实验结果分析通过实验,我们成功搭建了基本加法器电路,并进行了加法器实验。

实验结果表明,加法器能够正确地对两个二进制数进行加法运算,并输出正确的结果。

通过比对理论值和实际值,我们发现存在一定的偏差,可能是由于电路连接不良或逻辑门延迟等因素导致。

在今后的实验中,我们需要注意电路连接质量和信号延迟,以提高实验结果的准确性和稳定性。

七、实验总结通过本次加法器实验,我们加深了对计算机组成原理中加法器的理解,掌握了基本的加法器实验操作技能。

我们也发现了一些问题并提出了改进建议。

在今后的学习和实验中,我们将继续加强对计算机组成原理的学习,不断提升实验操作能力,为今后的科研工作和实际应用打下坚实的基础。

八、参考资料1. 《计算机组成原理》(第五版),唐朔飞,张善民,电子工业出版社2. 《数字逻辑与计算机设计》(第三版),David M. Harris,Sarah L. Harris,清华大学出版社以上是本次计算机组成原理加法器实验实训报告的全部内容,谢谢阅读。

实验一 四位加法器和减法器设计

实验一  四位加法器和减法器设计

实验一 四位加法器和减法器设计一、问题描述:1、设计一个4bit 加法器,采用两种不同的结构实现(串行结构和超前进位链结构)。

并进行功能仿真,并分析比较两种不同的四位加法器的异同。

2、在4bit 加法器的基础上设计一个4bit 的减法器,并进行功能仿真。

二、 输入和输出信号描述1、加法器input: A3A2A1A0: 加数输入。

B3B2B1B0: 加数输入。

C0: 进位输入output: S3S2S1S0: 和数输出C4: 进位输出2、减法器input: A3A2A1A0: 减数输入B3B2B1B0: 被减数输入C0: 借位输入output: S3S2S1S0: 结果输出C4: 借位输出三、 结构框图(1) 串行加法器的结构图其中1位全加器的结构如下:C4(2)超前进位链结构加法器S=A⊕B⊕Ci-1Cout=AB+Ci-1(A+B) 令Gi=AiBi,进位产生信号Pi=Ai+Bi 进位传输信号则,Cout=Gi+Pi Ci-1四位全加器的进位链逻辑可以表示为如下C1=G1+P1C0C2=G2+P2G1+P2P1C0C3=G3+P3G2+P3P2G1+P3P2P1C0C4=G4+P4G3+P4P3G2+P4P3G2+P4P3P2G1+P4P3P2P1C0四、设计步骤Step1:串行加法器要求先设计完成1bit全加器,对1bit全加器的功能进行仿真,确保1bit全加器的电路结构、功能都是正确的。

Step2:再在1bit全加器的基础上设计4bit串行结构的加法器。

Step3:4bit减法器的实现要求调用4bit加法器,具体电路实现结构根据二进制减法运算的方法自己思考实现。

Step4:电路设计完成后,进行功能仿真,加入激励信号,观察波行输出是否满足设计要求。

五、设计要求1、采用层次化的设计方法。

2、功能仿真加的激励要尽可能反应电路的全部工作情况。

3、得到完整的结果波形,并能够分析其是否正确。

4、设计完成后,自己独立完成实验报告的撰写。

加法器实验报告

加法器实验报告

加法器实验报告加法器实验报告概述:本次实验旨在设计和实现一个加法器电路,通过对电路的搭建和测试,验证加法器的正确性和可行性。

加法器是计算机中最基本的算术运算器之一,其在数字逻辑电路中扮演着重要的角色。

1. 实验背景加法器是一种基本的数字逻辑电路,用于实现数字的加法运算。

在计算机中,加法器被广泛应用于算术逻辑单元(ALU)和中央处理器(CPU)等部件中,用于进行各种数值计算和逻辑运算。

因此,了解和掌握加法器的工作原理和设计方法对于理解计算机原理和数字电路设计具有重要意义。

2. 实验目的本次实验的主要目的是通过设计和实现一个4位二进制加法器电路,验证加法器的正确性和可行性。

具体要求如下:- 设计并搭建一个4位二进制加法器电路;- 对电路进行测试,验证其加法运算的正确性;- 分析电路的性能和优化空间。

3. 实验原理加法器是通过逻辑门电路实现的。

在本次实验中,我们将使用全加器电路来实现4位二进制加法器。

全加器是一种能够实现两个二进制位相加并考虑进位的电路。

通过将多个全加器连接起来,可以实现更高位数的二进制加法器。

4. 实验步骤4.1 设计加法器电路的逻辑功能首先,我们需要确定加法器电路的逻辑功能。

在这个实验中,我们需要实现两个4位二进制数的相加运算,并输出结果。

具体的逻辑功能可以通过真值表或逻辑表达式来描述。

4.2 搭建电路根据逻辑功能的要求,我们可以使用逻辑门电路来搭建加法器。

在本次实验中,我们将使用多个全加器电路来实现4位二进制加法器。

通过将多个全加器连接起来,可以实现更高位数的二进制加法器。

4.3 进行电路测试在搭建完电路后,我们需要对电路进行测试,以验证其加法运算的正确性。

可以通过输入一些测试用例,并比较输出结果与预期结果是否一致来进行测试。

5. 实验结果与分析通过对加法器电路的测试,我们可以得到加法器的输出结果。

通过比较输出结果与预期结果,可以验证加法器的正确性。

同时,我们还可以分析电路的性能和优化空间,例如进一步提高加法器的速度和减少功耗等。

加法器实验实训报告

加法器实验实训报告

加法器实验实训报告实验目的,通过设计和实现一个加法器电路,加深对数字电路原理和逻辑门的理解,掌握数字电路的设计和实现方法。

实验原理,加法器是一种基本的数字电路,用于将两个二进制数相加得到和。

常见的加法器有半加器、全加器和多位加法器。

在本实验中,我们将使用全加器来设计一个4位二进制加法器。

实验材料和设备:1. 逻辑门集成电路(如74LS08、74LS32等)。

2. 连线材料。

3. 电源。

4. 示波器(可选)。

实验步骤:1. 根据实验要求,确定所需的加法器类型和位数。

在本实验中,我们选择使用4位全加器。

2. 根据全加器的真值表,设计电路连接图。

全加器由两个半加器和一个或门组成,其中半加器用于计算两个输入位的和,或门用于计算进位。

3. 根据电路连接图,使用逻辑门集成电路进行实验电路的搭建。

根据需要,可以使用示波器检测电路的工作情况。

4. 进行电路的调试和测试。

输入不同的二进制数,观察输出结果是否符合预期。

可以使用示波器观察信号波形,以验证电路的正确性。

5. 记录实验数据和观察结果。

包括输入的二进制数、输出的和、进位等信息。

6. 分析实验结果。

比较实验结果与预期结果的差异,找出可能存在的问题并加以解决。

7. 撰写实验报告。

包括实验目的、原理、材料和设备、步骤、数据和结果分析等内容。

实验结果分析:根据实验数据和观察结果,我们可以得出结论,通过设计和实现一个4位二进制加法器电路,我们成功地实现了二进制数的相加操作。

电路的输出结果与预期结果一致,证明电路的设计和实现是正确的。

实验总结:通过本次实验,我们深入学习了数字电路原理和逻辑门的运作方式,掌握了数字电路的设计和实现方法。

同时,我们也了解到了加法器的工作原理和实现过程。

通过实际操作和观察,我们加深了对加法器电路的理解,并提高了实验操作和数据分析的能力。

总的来说,本次实验对我们的学习和实践能力有很大的提升,使我们更加熟悉和了解数字电路的应用。

通过这次实验,我们不仅掌握了加法器的设计和实现方法,还培养了我们的动手能力和问题解决能力。

加法器实验报告

加法器实验报告

加法器实验报告加法器实验报告一、实验背景加法器是计算机中最基础的逻辑电路之一,它的主要作用是将两个二进制数进行加法运算,并输出一个二进制数作为结果。

在计算机中,加法器的存在极为重要,因为它是所有计算的起点。

二、实验目的本实验的主要目的是通过制作加法器电路,掌握加法器的基本原理和操作方法。

通过实验,我们可以深入了解加法器的实现原理,在实践中体验二进制数的加法运算及其结果。

三、实验器材本次实验所需的器材如下:1.电路板2.电源线3.开关4.三枚LED灯5.四个按键6.电阻7.逻辑门SN74008.引线等四、实验步骤1.将电路板和电源线取出并清洗干净。

2.将电阻固定在电路板上。

3.将逻辑门SN7400安装到电路板上,并连接引线。

4.安装开关、LED灯和按键。

5.进行电路连接,注意避免短路和错接。

6.检查出错情况,重新调整电路连接。

7.开启电源并进行测试。

五、实验结果经过多次调整,我们成功地制作出了加法器电路,并进行了测试。

实验的结果显示:当我们同时按下两个按键时,相应的LED灯会点亮,从而输出结果。

六、实验误差及分析在实验过程中,我们发现有时LED灯不能很好地显示结果,这可能是由于电路连接不良或电阻的阻值不准确造成的。

在检查出错情况时,我们需要细心认真,尤其是对于电路连接的质量非常重要。

七、实验心得通过本次实验,我们深入了解了加法器的基本原理和操作方法。

同时,我们也掌握了电路连接和调试的技巧,认识到了实验中心细节的重要性。

通过实践,我们加深了对计算机逻辑电路的理解和应用,也提升了我们的创新能力和动手实践能力。

总之,本次实验让我们得到了很大的收获,不仅增强了我们对计算机逻辑电路的认识,也提高了我们的实验技能和科学素质。

我们相信,在今后的学习和实践中,这次实验的经验和教训将对我们有很大的帮助。

加法器实验报告

加法器实验报告

加法器实验报告一、实验目的本实验目的是通过学习数字电路中的加法器基本原理,掌握加法器的设计方法和加法器的应用。

二、实验原理1.加法器的定义加法器是一种数字电路,用于进行二进制数的加法运算。

加法器的核心是二进制累加器,可以将两个二进制数进行相加,并将结果以二进制形式输出。

2.半加器半加器是最基本的加法器,在实际电路中被广泛应用。

半加器可以对两个二进制位进行加法运算,并得出最低位的结果和进位信号。

半加器的电路图如下:半加器的真值表如下:|输入A|输入B|输出S|进位C||----|----|----|----|| 0 | 0 | 0 | 0 || 0 | 1 | 1 | 0 || 1 | 0 | 1 | 0 || 1 | 1 | 0 | 1 |4.四位全加器四位全加器可以对两个四位二进制数进行加法运算,其电路图如下:其中,Ci为上一位的进位信号,Si为本位的结果,CO为当前的进位信号。

三、实验器材101实验箱、数字电路板、八位拨动开关、VCC接口线、GND接口线、LED灯、7408四个与门芯片、7404六个反相器芯片、7483两个四位全加器芯片。

四、实验步骤1.搭建半加器电路将7408与门芯片的1、2、3、4引脚分别接入VCC电源,6、7、8、9引脚接入GND电源。

将输入的A、B二进制数接入7408与门芯片的1、2引脚,将输入的A、B二进制数经过反相器反向后接入7408与门芯片的3、4引脚,将输出的S、Cn+1接入LED灯,连接电路如下图所示:五、实验结果1.半加器和全加器电路测试结果:通过八位拨动开关分别输入二进制数11和10,经过半加器和全加器电路处理后,实验箱LED灯分别显示结果1和01,如下图所示:六、实验总结通过本次实验,我对加法器的基本原理有了更深层次的理解,并掌握了加法器的设计方法和加法器的应用。

在实验的过程中,我遇到了一些问题,在老师的指导下,通过反复尝试和理论分析,终于成功解决了问题,对自己的动手实验能力和实际问题的解决能力有了更进一步的提高。

8位并行加法器的设计

8位并行加法器的设计

实验一:8位加法器的设计1.实验目的(1)学习isEXPERT/MAX+plus Ⅱ/Foudation Series软件的基本使用方法。

(2)学习GW48-CK EDA实验开发系统的基本使用方法。

(3)了解VHDL程序的基本结构。

2.实验内容设计并调试一个由两个4位二进制并行加法器级联而成的8位二进制并行加法器,并用GW48_CK EDA实验开发系统(拟采用的实验芯片的型号为isPLSI1032E OLCC_84)进行硬件验证。

3.实验条件(1)画出系统的原理框架图,说明系统中各主要部分的功能。

(2)编写各个VHDL源程序。

(3)根据选用的软件编好用于系统仿真的测试文件。

(4)根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。

(5)记录系统仿真、硬件验证结果。

(6)记录式样过程中出现的问题及解决方法。

4.实验设计(1)系统原理图框架4位二进制并行加法器2个4位二进制并行加法器级联而成的8位加法器(2)VHDL源程序1)4位二进制并行加法器的源代码adder4b.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder4b isport(c4:in std_logic;a4:in std_logic_vector(3 downto 0);b4:in std_logic_vector(3 downto 0);s4:out std_logic_vector(3 downto 0);co4:out std_logic);end entity adder4b;architecture art of adder4b issignal s5:std_logic_vector(4 downto 0);signal a5,b5:std_logic_vector(4 downto 0);begina5<='0'&a4;b5<='0'&b4;s5<=a5+b5+c4;s4<=s5(3 downto 0);co4<=s5(4);end architecture art;2)8位二进制并行加法器的源代码adder8b.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8b isport(c8:in std_logic;a8:in std_logic_vector(7 downto 0);b8:in std_logic_vector(7 downto 0);s8:out std_logic_vector(7 downto 0);co8:out std_logic);end entity adder8b;architecture art of adder8b iscomponent adder4b isport(c4:in std_logic;a4:in std_logic_vector(3 downto 0);b4:in std_logic_vector(3 downto 0);s4:out std_logic_vector(3 downto 0);co4:out std_logic);end component adder4b;signal sc:std_logic;beginu1:adder4bport map(c4=>c8,a4=>a8(3 downto 0),b4=>b8(3 downto 0), s4=>s8(3 downto 0),co4=>sc);u2:adder4bport map(c4=>sc,a4=>a8(7 downto 4),b4=>b8(7 downto 4),s4=>s8(7 downto 4),co4=>co8);end architecture art;(3)波形仿真文件Module adder8b;C8,a8[7..0],b8[7..0],s8[7..0],co8 pin;Test_vectors(C8,a8[7..0],b8[7..0]->s8[7..0],co8);[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,]->[x,x,x,x,x,x,x,x,x]; [1,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,1,]->[x,x,x,x,x,x,x,x,x]; [0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,1,0,]->[x,x,x,x,x,x,x,x,x]; [1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,]->[x,x,x,x,x,x,x,x,x]; [0,0,1,0,0,0,1,0,0,0,1,0,0,0,1,0,0,]->[x,x,x,x,x,x,x,x,x]; [1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,]->[x,x,x,x,x,x,x,x,x]; [0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,]->[x,x,x,x,x,x,x,x,x]; [1,0,1,1,1,0,1,1,1,0,1,1,1,0,1,1,1,]->[x,x,x,x,x,x,x,x,x]; [0,1,0,0,0,0,0,0,0,1,0,0,0,1,0,0,0,]->[x,x,x,x,x,x,x,x,x]; [1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1,]->[x,x,x,x,x,x,x,x,x]; [0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0,]->[x,x,x,x,x,x,x,x,x]; [1,1,0,1,1,1,0,1,1,1,0,1,1,1,0,1,1,]->[x,x,x,x,x,x,x,x,x]; [0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,]->[x,x,x,x,x,x,x,x,x]; [1,1,1,0,1,1,1,0,1,1,1,0,1,1,1,0,1,]->[x,x,x,x,x,x,x,x,x]; [0,1,1,1,0,1,1,1,0,1,1,1,0,1,1,1,0,]->[x,x,x,x,x,x,x,x,x]; [1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,]->[x,x,x,x,x,x,x,x,x]; End;(4)管脚锁定文件管脚锁定设计图管脚锁定文件//adder8b.ppn//part: isPLSI1032E OLCC_84 //fromat:pinnanme pintype lock c8 in 11a87 in 73a86 in 17a85 in 19a84 in 2a83 in 29a82 in 60a81 in 44a80 in 1b87 in 69b86 in 18b85 in 16b84 in 42b83 in 27b82 in 53b81 in 84b80 in 43s87 out 71s86 out 70s85 out 59s84 out 58s83 out 62 s82 out 61 s81 out 28 s80 out 30 co8 out 725.实验结果及总结(1)系统仿真情况系统功能仿真结果时序仿真结果(2)硬件验证情况(3)实验开发过程中出现的问题及解决的方法1)在进行仿真时,value总会随着初始设定值的改变而改变,一开始不明白,总是去调节,后面发现者value的值就是设定的初始值的第一位值,对仿真并没影响,于是把他隐藏了。

实验一(2)、加法器及译码显示电路分析

实验一(2)、加法器及译码显示电路分析
2设计一个余3码至8421码的转换电路并将结果用74ls47和共阳极led数码管组成的译码显示电路显示成十进制09
实验一(2)、加法器及译码显示电路
一、实验目的
1.掌握二进制加法运算。 2.掌握全加器的逻辑功能。 3.熟悉集成加法器及其使用方法。 4.掌握七段译码器和数码管的使用。
二、设计任务与要求
1. 电子技术综合实验箱; 2.集成电路:74LS83,74LS86,74LS00,
74LS47。 3.共阴极LED数码管。
五、实验内容及步骤
1.按基本设计任务与要求设计出的电路,若需要仿 真,则用Multisim 7进行软件仿真。
2.在实验仪上安装电路,检查实验电路接线无误之 后接通电源。
3.测试全加器的功能。记录实验结果。 4.测试转换器的功能。
原理图; 4. 对实验结果进行分析; 5. 思考题。
七、思考题
1.用74LS83能否实现8421码转换为余3 码的转换?
2.画出用74LS48和共阴极LED数码管实 现一个译码显示电路。
器件引脚图
74LS00
74LS86
74LS83
74LS47
加余 数三
码 被 加 数
A3 A2 A1
A0
5
1 3 8 10
B3 B2
16 4
B1 7
VCC
W
15
2X Y
6
9Z
B0 11 13 12
D6 C2
B1 A7
译码器
74LS83
13 12 11 10
9
15
14Leabharlann a b c d e f g
8
共阴数码管
二、设计任务与要求
2.扩展内容(仿真) 设计一个4位BCD码加法器 注意:在计满10时即进位。画出逻辑图, 列出元件清单。仿真加法器用CMOS 4008

加法器实验实训报告

加法器实验实训报告

加法器实验实训报告引言:本次实验旨在设计和构建一个加法器电路,实现两个二进制数的相加操作。

加法器是计算机中最基本的逻辑电路之一,其功能对于计算机的运算和逻辑处理至关重要。

通过本次实验,我们将掌握加法器的原理和实现方法,并通过实际搭建电路进行验证。

一、实验目的本次实验的主要目的是:1.了解加法器的基本原理和工作方式;2.学习二进制数的相加操作;3.掌握加法器电路的设计和构建方法;4.通过实际搭建电路,验证加法器的正确性。

二、实验原理加法器是一种基于二进制数的逻辑电路,用于将两个二进制数相加并输出结果。

常见的加法器有半加器、全加器和多位加法器等。

本次实验我们将使用全加器来实现两个二进制数的相加。

全加器的输入包括两个待相加的二进制数和一个进位信号(前一位相加的进位),输出为相加结果和进位信号。

全加器的逻辑电路可通过逻辑门的组合实现。

三、实验步骤1.根据实验要求,确定加法器的位数并设计电路结构;2.根据设计的电路结构,确定所需的逻辑门类型和数量;3.根据逻辑门的真值表,确定逻辑门的输入输出关系;4.根据逻辑门的输入输出关系,设计逻辑门的电路图;5.根据设计的逻辑门电路图,搭建实验电路;6.验证电路的正确性,通过输入不同的二进制数进行相加操作,并观察输出结果是否符合预期;7.根据实验结果,总结加法器的工作原理和特点。

四、实验结果与分析通过实验,我们成功地设计并构建了一个加法器电路,并通过输入不同的二进制数进行相加操作。

实验结果表明,加法器能够正确地完成二进制数的相加,输出结果与预期一致。

五、实验总结本次实验通过设计和构建加法器电路,加深了我们对加法器原理和工作方式的理解。

通过实际操作,我们掌握了加法器电路的设计和构建方法,并验证了其正确性。

加法器作为计算机中最基本的逻辑电路之一,其重要性不言而喻。

通过本次实验,我们进一步认识到了加法器在计算机运算和逻辑处理中的重要作用。

六、实验心得通过本次实验,我深刻体会到了电路设计和构建的重要性。

64位加法器设计解析

64位加法器设计解析

六十四位全加器的设计一、实验目的:设计一个64位的全加器实现加法功能。

二、实验要求:用两种方法实现64位全加器。

第一种:用Sedi画出64位全加器电路图,并生成网表,然后进行功能验证,最后将电路图生成版图。

第二种:用VHDL语言写出64位全加器的程序表达式,并进行功能验证,最后将程序语言转换成电路图。

三、实验过程3、1分析一次画出64 位全加很有难度,但考虑到全加器的结构,我们可以用4个16位的全加器组成一个64位的加法器。

同样,一个16位的全加器可以由4个4位全加器组成,一个4位全加器可以由4个一位全加器组成。

一位全加器又可以由三个半加器或两个半加器与一个或门组成。

所以整个设计思路可以简化为:半加器→一位全加器→四位全加器→十六位全加器→六十四位全加器3、2功能设计与验证(画图法)3、2、1半加器设计半加器实现加法,输入A、B,输出C、S(C 为进位,S为本位);所以得出半加器的电路图为:半加器符号图:SS C CB B A A 半加器()()()与非门 或非门 非门 3 、2、2一位全加器设计一位全加器可由三个半加器组成电路图如下:A B C iSC 半加器A B CS半加器A B CS半加器A B CS一位全加器的电路图符号如下:SSC iC iCCBBAA全加器3、2、3四位全加器的设计四位全加器可由四个一位全加器组成,四位全加器电路图如下:B 0A 0CS 3S 2S 1S 0C iB 3A 3B 2A 2B 1A 1全加器AB CC i S全加器A B CC i S全加器AB C C iS全加器A B CC i S四位全加器符号图如下:S 3S 3S 2S 2S 1S 1S 0S 0C iC iCC B 3B 3B 2B 2B 1B 1B 0B 0A 3A 3A 2A 2A 1A 1A 0A 0四位全加网表输入如下: 1、添加工作电压; Vvdd vdd GND 52、添加模型文件;.include "D:\iceda\TannerPro9\TSpice7.0\models\ml2_125.md" 3、添加输入信号;VA0 A0 GND PULSE (0 5 0 5n 5n 50n 100n) VA1 A1 GND PULSE (0 5 0 5n 5n 100n 200n) VA2 A2 GND PULSE (0 5 0 5n 5n 150n 300n) VA3 A3 GND PULSE (0 5 0 5n 5n 120n 240n) VB0 B0 GND PULSE (0 5 0 5n 5n 50n 100n) VB1 B1 GND PULSE (0 5 0 5n 5n 100n 200n) VB2 B2 GND PULSE (0 5 0 5n 5n 150n 300n) VB3 B3 GND PULSE (0 5 0 5n 5n 120n 240n) VCi Ci GND PULSE (0 5 0 5n 5n 50n 100n) 4、添加参数设置; .param l=0.5u5、输出设置;.print tran v(A0) v(A1) v(A2) v(A3) v(B0) v(B1) v(B2) v(B3) v(Ci) v(S0) v(S1) v(S2) v(S3) v(C) 6、设置分析;.tran/op 1n 400n method=bdf 总网表如下.include "D:\iceda\TannerPro9\TSpice7.0\models\ml2_125.md" Vvdd vdd GND 5VA0 A0 GND PULSE (0 5 0 5n 5n 50n 100n) VA1 A1 GND PULSE (0 5 0 5n 5n 100n 200n) VA2 A2 GND PULSE (0 5 0 5n 5n 150n 300n) VA3 A3 GND PULSE (0 5 0 5n 5n 120n 240n) VB0 B0 GND PULSE (0 5 0 5n 5n 50n 100n) VB1 B1 GND PULSE (0 5 0 5n 5n 100n 200n) VB2 B2 GND PULSE (0 5 0 5n 5n 150n 300n) VB3 B3 GND PULSE (0 5 0 5n 5n 120n 240n) VCi Ci GND PULSE (0 5 0 5n 5n 50n 100n) .param l=0.5u.tran/op 1n 400n method=bdf.print tran v(A0) v(A1) v(A2) v(A3) v(B0) v(B1) v(B2) v(B3) v(Ci) v(S0) v(S1) v(S2) v(S3) v(C) (由于步骤相同,以此为例,后面网表添加均简写)仿真结果:(四位全加器仿真图)3、2、4十六位全加器的设计十六位全加器可由四个四位全加器组成,十六位全加器电路图如下:A0A 1A 2A3A4A 5A 6A7A 8A 9A 10A11A12A 13A 14A15B0B 1B 2B3B4B 5B 6B7B8B 9B 10B11B12B 13B 14B15Ci S0S 1S 2S3S4S 5S 6S7S8S 9S 10S11S12S 13S 14S15C四位全加A0A1A2A3B0B1B2B3C CiS0S1S2S3四位全加A0A1A2A3B0B1B2B3C CiS0S1S2S3四位全加A0A1A2A3B0B1B2B3C CiS0S1S2S3四位全加A0A1A2A3B0B1B2B3C CiS0S1S2S3十六位全加器符号图如下:S 15S 15S 14S 14S 13S 13S 12S 12S 11S 11S 10S 10S 9S 9S 8S 8S 7S 7S 6S 6S 5S 5S 4S 4S 3S 3S 2S 2S 1S 1S 0S 0C iC iCCB 15B 15B 14B 14B 13B 13B 12B 12B 11B 11B 10B 10B 9B 9B 8B 8B 7B 7B 6B 6B 5B 5B 4B 4B 3B 3B 2B 2B 1B 1B 0B 0A 15A 15A 14A 14A 13A 13A 12A 12A 11A 11A 10A 10A 9A 9A 8A 8A 7A 7A 6A 6A 5A 5A 4A 4A 3A 3A 2A 2A 1A 1A 0A 0十六位全加器网表输入: 仿真图如下:3、2、5 六十四位全加器的设计六十四全加器可由四个十六位全加器组成,六十四位全加器电路图如下:CA48A49A 50A51A52A 53A54A 55A56A 57A58A59A60A61A62A63B48B 49B50B 51B52B 53B54B 55B56B57B 58000A32A 33A34A35A 36A37A 38A39A 40A41A 42A43A44A45A46A47B32B33B 34B35B 36B37B 38B39B40B 41B42B43B44B45B46B470A16A17A18A 19A20A 21A22A 23A24A 25A26A27A28A29A30A31B16B 17B18B 19B20B 21B22B23B 24B25B 26B27B28B29B30B3100A0A1A2A3A4A5A6A7A8A9A 10A11A12A13A14A15B0B1B2B3B4B5B6B7B8B9B10B11B12B13B14B1500Ci 十六位全加器A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15B0B1B2B3B4B5B6B7B8B9B10B11B12B13B14B15C CiS0S1S2S3S4S5S6S7S8S9S10S11S12S13S14S15十六位全加器A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15B0B1B2B3B4B5B6B7B8B9B10B11B12B13B14B15CCiS0S1S2S3S4S5S6S7S8S9S10S11S12S13S14S15十六位全加器A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15B0B1B2B3B4B5B6B7B8B9B10B11B12B13B14B15CCiS0S1S2S3S4S5S6S7S8S9S10S11S12S13S14S15十六位全加器A0A1A2A3A4A5A6A7A8A9A10A11A12A13A14A15B0B1B2B3B4B5B6B7B8B9B10B11B12B13B14B15CCiS0S1S2S3S4S5S6S7S8S9S10S11S12S13S14S15六十四位全加器符号图如下:S63S62S61S60S59S58S57S56S55S54S53S52S51S50S49S48S47S46S45S44S43S42S41S40S39S38S37S36S35S34S33S32S31S30S29S28S27S26S25S24S23S22S21S20S19S18S17S16S15S14S13S12S11S10S9S8S7S6S5S4S3S2S1S0CiC B63B62B61B60B59B58B57B56B55B54B53B52B51B50B49B48B47B46B45B44B43B42B41B39B40B38B37B36B35B34B33B32B31B30B29B28B27B26B25B24B23B22B21B20B19B18B17B16B15B14B13B12B11B10B9B8B7B6B5B4B3B2B1B0A63A62A61A60A59A58A57A56A55A54A53A52A51A50A49A48A47A46A45A44A43A42A41A40A39A38A37A36A35A33A34A32A31A30A29A28A27A26A25A24A23A22A21A20A19A18A17A16A15A14A13A12A11A10A9A8A7A6A5A4A3A2A1A0Ci 00000000000000C0000000网表添加如:六十四位全加器仿真图:六十四位全加器生成版图: 1、加压焊块将设计好的六十四位全加器的电路图例化进新的module 中,然后引用PAD 模块和端口。

数电实验报告 加法器

数电实验报告 加法器

数电实验报告加法器一、实验目的1、掌握半加器、全加器的工作原理及逻辑功能。

2、掌握集成加法器的应用。

二、实验设备及器件1、数字逻辑电路实验板 1块2、74HC283 1片3、74HC04 1片4、74HC00 1片5、74HC86 1片三、实验原理1、半加器不考虑低位进位,只本位相加,称半加。

实现半加的电路,为半加器。

2、全加器考虑低位进位的加法称为全加。

实现全加的电路,为全加器。

3、多位加法器(1)串行多位加法(2)并行多位加法四、实验内容与步骤1、用门电路实现全加器。

参照下图搭接电路,并测试其功能记录结果。

电路中的与非门用74HC00实现,74HC00的引脚图和真值表如图:电路中的异或门用74HC86实现,74HC86的引脚图和真值表如图:按上面的图连接好电路,高电平接+5V的电压,低电平接地,测得结果如下表:2、用集成加法器74HC283 实现代码转换电路。

要求:设计一个四位全加器电路,能够完成8421 码到余三码的转换。

实验电路图如下:74HC283的引脚图和真值表如下:按上面的图连接好电路,高电平接+5V的电压,低电平接地,输出端为低电平时,二极管发光,则测得实验结果如下表:8421BCD码余3码0 0 0 0 0 0 1 10 0 0 1 0 1 0 00 0 1 0 0 1 0 10 0 1 1 0 1 1 00 1 0 0 0 1 1 10 1 0 1 1 0 0 00 1 1 0 1 0 0 10 1 1 1 1 0 1 01 0 0 0 1 0 1 11 0 0 1 1 1 0 0五、实验感想:通过本次试验,我进一步学习了解了74HC86,74HC00,74HC283这三个电子元件,更深一步熟练掌握了电路的连接和电子元件电路设计实践操作的方法和技巧。

同时让我更进一步理解了加法器的原理和8421BCD码与余三码之间的关系。

本次试验,收获颇丰!。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
编码如下;
library ieee;
Endd控制乘法器结束;
Clr起到复位作用;
Ca用来控制乘数移位寄存器(74194)的移位功能,在乘法器进行移位相加的过程中进行移位;
Cb[0]、cb[1]用来控制被乘数移位寄存器(74194)的移位功能,在乘法器进行移位相加的过程中进行移位;
Cm[0]、cm[1]控制八位移位寄存器(74198)的移位功能,使得和乘数与被乘数的移位相一致;
4、掌握元件例化语句用法;
5、熟悉软硬件设计验证方法。
四、实验过程
设计思想:
8位二进制加法器可以由8个全加器通过级联的方式构成。根据全加器级联的原理,用VHDL设计一个8位二进制数的加法器,可以先设计一个一位全加器,然后利用一位全加器采用元件例化的方式实现加法器。
实验步骤:
1、设计一个全加器
新建工程,建立源文件,输入VHDL设计文件,如下图所示:
实验六
一、实验目的
1、了解乘法器的工作原理。
2、了解复杂时序电路的设计流程。
二、实验原理及内容
实验原理
设计一个能进行两个十进制数的乘法器,乘数和被乘数的输入用开关K1~K10代表0~9,用编码器对数据开关进行编码,设置相乘信号,当输入完毕后,相乘信号有效,使输入的乘数送进寄存器寄存。在输入被乘数。设计等于信号。当输入完毕后。启动乘法模块。
实验项目二:简单计算器设计与实现
基本要求:
1.能够实现加减运算
2.能够实现乘法运算
扩展要求:
1.能够实现除法运算
一、实验目的
利用原件例化语句完成一个8位加法器的设计。
二、实验环境
Quartus II开发系统
三、实验内容
1、掌握层次化设计的方法;
2、掌握一位全加器工作原理;
3、掌握用VHDL文本输入法设计电子线路的详细流程;
总结实验过程中遇到的各种问题,问题产生的原因,解决方法,分析仿真结果,验证8位加法器设计的是否正确。
根据实验设计思路,我先用VHDL设计了一个全加器full_adder.vhd,编译、仿真成功后,通过元件例化的方式,采用原理图设计了一个8位的加法器adder8.bdf,但是在这个过程中出现了很多问题,即由于我是把这个8位的加法器的每个全加器元件输入输出引脚分开的,因此一开始就设计了17个输入引脚,这使得我在给输入引脚添加信号时不知道添加什么信号,才能够起到验证仿真结果是否正确的目的。
实验内容
1、设计一个能进行两个十进制数相乘的乘法器,乘数和被乘数均小于100。(可以参考教材231页的VHDL代码来设计)
2、对所编写的电路进行编译及正确的仿真。
三、实验条件
Quartus II实验环境
四、实验及仿真
顶层文件如下:
该器件multi对乘法过程进行时序控制。
Clk为时钟信号;
lStart控制乘法器的开始;
继续建立源文件,输入VHDL设计文件,如下图所示:
依照上述步骤,保存文件,对文件进行编译、仿真,以下是仿真结果,如图所示:
五、实验总结
本次实验的目的是设计一个8位二进制加法器,而8位二进制加法器可以由8个全加器通过级联的方式构成。
根据全加器级联的原理,用VHDL设计一个8位二进制数的加法器,可以先设计一个一位全加器,然后利用一位全加器采用元件例化的方式实现加法器。
另外,我在这里还遇到了一些其他问题,由于在建立原理图文件adder8.bdf以后没有将该原理图文件设置成顶层文件,这使得我在给输入引脚添加信号时无法添加引脚。这里还存在一个问题:当通过原理图设计8位加法器时,我不知道能不能ห้องสมุดไป่ตู้它当着一个整体,即3输入2输出。另外,怎么通过在原理图设计里面把这一思想表示出来。
在本次实验过程中,虽然出现了很多问题,但正是因为在操作过程中发现了很多问题并且通过对这些问题的解决,我对Quartus II 7.0开发系统环境也有了更深入的了解和认识。
每一次发现错误,都是一个新的进步,比起上次实验课,我觉得自己在操作动手能力方面又有了提升,至少现在看到一个问题的时候,能够明白是什么问题,能够知道该怎么去问,该怎么去解决它。所以说,无论干什么,但凡是要想真正学一门知识,都要自己去摸索,只有自己亲自动手去做了,才能够真正深入地认识和了解它,才能够学到自己想要的东西。另外,在学习的过程中,还要掌握技巧,我觉得在这方面自己就很欠缺,因此,以后一定要注意,努力培养这方面的能力。
完成设计文件输入后,保存文件,对文件进行编译、仿真,以下是仿真结果,如图所示:
由图可知仿真结果正确。
2、元件例化
把VHDL设计文件转为原理图中使用的元件。在文件菜单File中选择Creat/Update选项,单击Create Symbol File for Current File 选项,系统自动生成相应的元件标号。
由于通过原理图设计无法完成8位加法器的设计,所以我又换了VHDL设计。通过VHDL设计比原理图设计简单,但是更容易出错,比如输入引脚、中间信号生成等的不匹配。
建立VHDL源文件以后,保存为adder8.vhd文件名,编译、仿真,但是由于我是将8位加法器当成的一个整体,即3输入2输出,并且在端口定义时我所定义的数据类型为逻辑矢量,因此这里又出现一个问题,即在仿真时给输入信号添加信号时不能确定到底应该怎么添加,并且其中间信号无法确定。不过后来在老师的指导下,我明白了错误根源在哪里。
重复新建文件的操作,选择Block Diagram/Schmatic File 选项,新建一个原理图文件,在添加元件列表中可以看到自动生成的元件,选择full_adder这个元件添加到原理图中,如下图所示:
3、完成顶层图的设计
用生成的元件标号,完成顶层图的设计。这里有两种方法,一种是直接用原理图设计,根据原理图设计工具的使用方法,完成顶层文件的设计,这个方法比较复杂,所以这里选择另一种方法,通过VHDL设计文件。
在对8位的加法器进行仿真时,一开始我是用的时序仿真,这里就出现一个问题,即仿真结果为未知,但是换用功能仿真的时候,其结果就是正确的,不指导是什么原因。还有另外一个问题,即我在将adder8.vhd文件设置为顶层文件以后,并没有进行编译就开始仿真,这使得我在给引脚添加信号时始终也找不到输入信号,不过好在面意识到了这个问题。
相关文档
最新文档