数字电子技术基础课程设计 二十四秒计时器
数字电子技术课程设计篮球比赛24秒倒计时器设计
数字电子技术课程设计篮球比赛24秒倒计时器设计1.2电路设计方案:24秒倒计时的总体方案框图如图所示,它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。
其中计数器和控制电路使系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动技术、暂停和连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由自带译码器的数码管组成。
报警电路在试验中可用发光二极管和蜂鸣器代替。
主体电路:24秒倒计时。
24秒计数芯片的置数端清零端共有一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器倒数计时开始进行倒计时,逐秒倒计时到零。
选取00这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2.各芯片的用法与功能2.174LS19274LS192是十进制计数器,具有异步清零和异步置数功能,且有进位和借位输出端。
当需要进行多级扩展连接时,只要将前级接到下一级的CP端,端接到下一级的CP端即可。
74LS192功能表: 2.2555定时器555定时器应用为多谐振荡电路时,当电源接通Vcc通过电阻R1,R2向电容C充电,其上电压按指数规律上升,当u上升至2/3Vcc,会使比较器C1输出翻转,输出电压为零,同时放电管T导通,电容C通过R2放电;当电容电压下降到1/3Vcc,比较器C2工作输出电压变为高电平,C放电终止,Vcc通过R1,R2又开始充电;周而复始,形成振荡。
则其振荡周期与充放电时间有关,也就是与外接元件有关,不受电源电压变化影响。
输出波形的振荡周期可用过度过程公式计算: 3.各部分电路设计3.1信号发生部分:秒脉冲的产生由555定时器组成的多谐振荡电路完成。
24秒倒计时器的设计和制作(停在00)
24秒倒计时器的设计和制作一、计时器概述1、计时器的特点及应用24秒倒计时。
24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。
选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2、设计任务及要求1、用小规模集成电路设计24秒倒计时电路;2、用555定时器产生1Hz的标准脉冲信号;3、当计时器显示00,同时报警;4、计时器应具有清零、启动、暂停/继续计时等控制功能。
二、电路设计原理及单元模块1、设计原理24秒计时器的总体参考方案框图如图1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。
图1—方案框图其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段LED显示器组成。
报警电路在实验中可用发光二极管和鸣蜂器代替。
2、设计方案此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。
在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。
此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
3、单元模块3.1各个元器件功能3.1.1、555定时器555 定时器的内部电路框图如图3-1-1所示。
图3-1-1 555内部电路框它内部包括两个电压比较器,三个等值串联电阻,一个RS 触发器,一个放电管T 及功率输出级。
数电课程设计-篮球24s倒计时器
课程设计报告课题名称:篮球比赛24秒倒计时电路的设计(Basketball 24 seconds countdown circuit design)专业:xxxxxxx班级:xxxxxxx学号:xxxxxxx学生姓名:xxxxxxx指导教师:xxxxxxxx年x月x日课程设计目的1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力;2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生的自学能力;3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力;课程设计内容(1)设计要求:① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能;②计时器为递减工作,时间间隔为1S :③设置外部开关,控制计时器的启动、暂停及清零;④递减到零时发出声光报警 :⑵原理方框图图:包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。
计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。
⑶实际电路图:秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路⑷单元电路: ①8421BCD 码加法计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。
图1是74LS192外引脚及时序波形图。
图中UCP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。
LD 是异步并行置数控制端(低电平有效), CO 、BO 分别是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。
24秒倒计时器的设计解析
赣南师院物理与电子信息学院数字电路课程设计报告书2.2 单元模块2.2.1 信号发生部分秒脉冲的产生由555定时器所组成的多谐振荡电路完成。
电路图如下图所示。
当开关断开时,555定时器产生周期为1s的脉冲;当开关闭合时,电路不能输出信号,于是没有脉冲输入74LS192中,故74LS192在保持状态,即实现暂停功能。
图2 信号发生电路2.2.2 倒计时部分24秒倒计时电路。
这部分电路的主体部分在时钟脉冲的输入情况下工作,下面进行具体分析。
计数器的倒计时功能。
用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本系统只需要从开始时的“24”倒计到“00”然后停止,所以,这里的高位不需要做成六十进制的计数器。
因为预置的数不是“00”,所以我选用置数端LOAD来进行预置数。
时钟脉冲分别通过两个与门才再输进个位(低位)的down端,当停止控制电路送来停止信号时,截断时钟脉冲,从而实现电路的停止功能。
低位的借位输出信号用作高位的时钟脉冲。
两片计数器具体接法。
Vcc、UP接+5V电源,GND接地;时钟脉冲从与门输出后接到低位的down,然后从低位BO’接到高位的down;输入端低位C、高位B接电源,其他引脚和CLR都接地。
LOAD接到开关C的活动端,C 的另外两引脚分别接G的活动端和地。
而G的另外两个引脚分别接到电源和地。
图3 24秒倒计时电路2.2.3 停止控制电路倒数计数器到零时,需要将电路转换到“24”并且停住。
现在选取计数器到零的状态24秒计到“00”,从各引脚引出线接到二脚与非门,当计数器从“00”状态转换到“99”时,用与非门把该状态转换成低电平(其余时间为高电平)控制LD。
使电路转换到“24”。
由于数字99是在很短的时间才能看到,用肉眼是看不到的,于是能实现从“00” 到“24”的转换。
再通过与非门所组成的触发器的输出端输出低电平,使74LS192处于保持状态。
这样就实现了转换并停止的电路。
数电课程设计-篮球24s倒计时器
课程设计报告课题名称:篮球比赛24秒倒计时电路的设计(Basketball 24 seconds countdown circuit design)专业:xxxxxxx班级:xxxxxxx学号:xxxxxxx学生姓名:xxxxxxx指导教师:xxxxxxxx年x月x日课程设计目的1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力;2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生的自学能力;3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力;课程设计内容(1)设计要求:① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能;②计时器为递减工作,时间间隔为1S :③设置外部开关,控制计时器的启动、暂停及清零;④递减到零时发出声光报警 :⑵原理方框图图:包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。
计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是系统的主要部分。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。
⑶实际电路图:秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路⑷单元电路: ①8421BCD 码加法计数器模块计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。
图1是74LS192外引脚及时序波形图。
图中UCP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。
LD 是异步并行置数控制端(低电平有效), CO 、BO 分别是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0是输出端。
24秒倒计时器的设计和制作
24秒倒计时器的设计和制作一、计时器概述1、计时器的特点及应用24秒倒计时。
24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器的倒数计时器开始进行倒计时,逐秒倒计到零。
选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停住。
2、设计任务及要求1、用小规模集成电路设计24秒倒计时电路;2、用555定时器产生1Hz的标准脉冲信号;3、当计时器显示00,同时报警;4、计时器应具有清零、启动、暂停/继续计时等控制功能。
二、电路设计原理及单元模块1、设计原理24秒计时器的总体参考方案框图如图1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。
图1—方案框图其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。
秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。
译码显示电路由74LS48和共阴极七段LED显示器组成。
报警电路在实验中可用发光二极管和鸣蜂器代替。
2、设计方案此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。
在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。
此电路是一时钟产生,触发,倒计时计数,译码显示、报警为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。
3、单元模块3.1各个元器件功能3.1.1、555定时器555 定时器的内部电路框图如图3-1-1所示。
图3-1-1 555内部电路框它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。
数字电路课程设计 24秒倒计时
赣南师院物理与电子信息学院数字电路课程设计报告书姓名:班级:学号:时间:论文题目24秒倒计时电路设计图1 总原理框图1.1 555定时器555定时器是一种多用途的数字-模拟混合集成电路,用它可以构成施密特触发器、单稳态触发器和多谐振荡器。
本设计用LM555CM定时器设计一个多谐振荡器给电路提供脉冲信号,产生的脉冲信号用由74LS192进行计数,且设置周期为1秒。
1.1.1555定时器管脚名称和功能图2 555的管脚名称1脚为接地端,也是芯片的公共端。
图3 555定时器的内部结构1.1.3 555定时器的功能表输入各级输出T 1状态D RV 1l V 2L V 1C V 2C触发器输出Q 1+n输出V OT 1状态0 ⨯ ⨯ ⨯ ⨯0 低电平 导通 1 (32)V CC (31V CC ) 0 1 0 低电平 导通 1 (32)V CC (31V CC ) 0 0 1 高电平 截止 1 (32)V CC (31V CC ) 1 0 0 高电平 截止 1(32)V CC (31V CC ) 11Q不变不变表1 555定时器的功能表图5 74LS192的内部结构图1.2.374LS192的功能表表2 74LS192的功能表1.374LS48七段译码器本设计中用共阴极七段显示数码管,为使七段显示数码管能正常工作,将74LS190连接到74LS48,74LS48将高低电平信号译成数码管可读信号,从而实现数字的显示。
1.3.174LS48的引脚排列图6 74LS48的引脚排列1.3.274LS48的真值表表3 74LS48的逻辑功能真值表1.4共阴极数码管数码管有共阴和共阳之分,本设计使用共阴数码管,因为译码器使用为74LS48,相应的数码管要用相应的译码器才能实现译码和显示数字。
1.4.1共阴数码管的引脚排列和简易符号图7 八段共阴数码管图8 数码管简易图1.4.2 共阴数码管的内部结构图9 共阴数码管内部结构2电路分析和仿真结果由上对各个元件进行的简介以及相关的原理图,下面对电路进行分部分析。
数电课程设计报告(数字电子时钟、24秒倒计时)
G1,G3(开门状态)送入分计数器,此时 G2 封锁,校准脉冲(即秒脉冲)进不去。
② 按下 S1 则 G1 封锁,“分”脉冲受阻,而 G2 打开,秒脉冲进入分计数 器进行快速计数(即较分),校时电路与此完全相同。
图 1 校准电路原理图 5、整点报时电路 一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字 钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较 复杂的也可以是实时语音提示。 根据要求,电路应在整点前 10 秒钟内开始整点报时,即当时间在 59 分 50 秒到 59 分 59 秒期间时,报时电路报时控制信号。报时电路选 74HC30,选蜂 鸣器为电声器件。
主 《电工电子实验教程》 陈明义 宋学瑞 中南大学出版社 2005 要 《电子技术基础 数字部分(第五版)》康光华 高教出版社 2005 参 考 资 料
对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料电试力卷保相护互装作置用调与试相技互术关,系电,通力根1保过据护管生高线产中敷工资设艺料技高试术中卷0资不配料仅置试可技卷以术要解是求决指,吊机对顶组电层在气配进设置行备不继进规电行范保空高护载中高与资中带料资负试料荷卷试下问卷高题总中2体2资,配料而置试且时卷可,调保需控障要试各在验类最;管大对路限设习度备题内进到来行位确调。保整在机使管组其路高在敷中正设资常过料工程试况1卷中下安,与全要过,加度并强工且看作尽护下可1都关能可于地以管缩正路小常高故工中障作资高;料中对试资于卷料继连试电接卷保管破护口坏进处范行理围整高,核中或对资者定料对值试某,卷些审弯异核扁常与度高校固中对定资图盒料纸位试,置卷编.工保写况护复进层杂行防设自腐备动跨与处接装理地置,线高尤弯中其曲资要半料避径试免标卷错高调误等试高,方中要案资求,料技编试术写5、卷交重电保底要气护。设设装管备备置线4高、调动敷中电试作设资气高,技料课中并3术试、件资且中卷管中料拒包试路调试绝含验敷试卷动线方设技作槽案技术,、以术来管及避架系免等统不多启必项动要方高式案中,;资为对料解整试决套卷高启突中动然语过停文程机电中。气高因课中此件资,中料电管试力壁卷高薄电中、气资接设料口备试不进卷严行保等调护问试装题工置,作调合并试理且技利进术用行,管过要线关求敷运电设行力技高保术中护。资装线料置缆试做敷卷到设技准原术确则指灵:导活在。。分对对线于于盒调差处试动,过保当程护不中装同高置电中高压资中回料资路试料交卷试叉技卷时术调,问试应题技采,术用作是金为指属调发隔试电板人机进员一行,变隔需压开要器处在组理事在;前发同掌生一握内线图部槽 纸故内资障,料时强、,电设需回备要路制进须造行同厂外时家部切出电断具源习高高题中中电资资源料料,试试线卷卷缆试切敷验除设报从完告而毕与采,相用要关高进技中行术资检资料查料试和,卷检并主测且要处了保理解护。现装场置设。备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。
数电课程设计-篮球12分24秒计时器
篮球计时系统的设计与制作一、分电路设计1、12分钟部分设计:(1)12分钟倒计时秒部分。
运用两片可逆计数器74LS192来构成60进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,置数、清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让秒钟部分的个位完成从0—9—8—7—6—5—4—3—2—1—0的计时特点。
该秒钟部分的十位与个位的计数进制不同,是将计数芯片74LS192接成六进制的计时器,让其实现从0—5—4—3—2—1—0的倒计时特点,所以必须要置数当计时到0时,UP常接高电平,每当DOWN端来一个上升沿脉冲时,就置数为5,所以将芯片的A和C端接高电平,B,D端接低电平这样就完成了置数功能。
要将两芯片组成一个60进制的减法计数器就要将12分钟的秒十位的DOWN端连接到秒个位的借位输出端BO,,其功能是当秒个位减到0时,借位输出端输出一个低电平,秒十位的DOWN端就来了一个低电平,这样等到下次高电平来的时候,十位就计数一次,这样就连成了一个60进制计数器。
具体电路图如下:(2)12分钟倒计时分部分。
运用两片可逆计数器74LS192来构成分别构成一个二进制和一个十进制的减法器。
这个计数器的低位就是用芯片原本的的十进制,时钟脉冲接到DOWN端,不同于12分钟秒个位,其置数端要置为2使计数从2开始减法计数,所以将芯片的B接高电平,A,C,D端接低电平这样就完成了置数功能。
清零端无效,即可以实现十进制的倒计数功能。
而最低位的计数变化应当与时钟脉冲的变法同步。
所以,原则上应当将时钟脉冲直接引导这片192计数器的减法输入端DOWN,同时将UP端接高电平,实现减法计数器,这样就能让分钟部分的个位完成从2—1—0—9—8—7—6—5—4—3—2的计时特点。
24秒倒计时器设计
中北大学课程设计说明书学生姓名: 学 专 题 院: 业: 目:耿晓宇学 号: 0805014103信息与通信工程学院 电子信息科学与技术 篮球比赛24秒倒计时器设计指导教师:程耀瑜 李文强职称: 职称:教授 讲师2011 年 1 月 6 日中北大学课程设计任务书2010/2011 学年第 一 学期学 专院: 业:信息与通信工程学院 电子信息科学与技术 耿晓宇 学 号:0805014103学 生 姓 名: 课程设计题目: 起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任:篮球比赛 24 秒倒计时器设计 12 月 26 日~1 月 7 日 中北大学 程耀瑜,李文强 程耀瑜下达任务书日期:2010 年 12 月 26 日课 程 设 计 任 务 书1.设计目的:本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料 的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学 生用课程中所学的理论独立地解决实际问题的能力。
另外还培养学生用专业的、简洁的 文字,清晰的图表来表达自己设计思想的能力。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :(1)掌握 24 秒计时电路的设计、仿真与调试; (2)掌握计时暂停、清零电路的设计、仿真与调试; (3)掌握计时显示电路的设计、仿真与调试; (4)掌握计时开始和计时终止报警电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结;3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :(1)提供核心器件的工作原理与应用介绍; (2)提供用 Protel99 设计的电路原理图,也可给出印刷板电路图; (3)提供用 Multisim、MaxPluss、Proteus 等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书; (5)提供参考文献不少于三篇,且必须是相关的参考文献;课 程 设 计 任 务 书4.主要参考文献:(1) 阎石.数字电子技术基础.北京:高等教育出版社,1998; (2) 王远.模拟电子技术.北京:机械工业出版社,2001; (3) 陈汝全.电子技术常用器件应用手册.北京:机械工业出版社,2003; (4) 毕满清.电子技术实验与课程设计.北京:机械工业出版社,2006; (5) 刘天旺. Protel99SE 电路设计应用教程.北京:电子工业出版社出版,2007;5.设计成果形式及要求:(1)电路原理图,仿真结果; (2)课程设计说明书;6.工作计划及进度:2010 年 12 月 26 日~12 月 28 日:分析课程设计任务书,查找资料,初步确定方案; 12 月 29 日~12 月 30 日:论证、确定方案; 12 月 31 日~2011 年 1 月 4 日: 电路设计、 计算、 仿真, 并完善设计与方案; 2011 年 1 月 5 日~1 月 6 日:整理资料,书写课程设计说明书; 1 月 7 日: 答辩,提交课程设计说明书。
24秒倒计时器课程设计报告
24秒倒计时器课程设计报告(共10页)--本页仅作为文档封面,使用时请直接删除即可----内页可以根据需求调整合适字体及大小--物理与信息技术学院课程设计报告学生姓名:学号: 21 指导教师:赵飞燕课程设计时间: 2013年 6月 28日— 2013年 7月 3日课程设计标题篮球比赛24秒倒计时器一、课程设计目的1、在本次设计中,主要对74LS00、74LS192、555等芯片加深了解,和巩固了对它们的使用,以及对于数字电路的综合运用有更深一步的理解,为以后的电路分析和设计奠定一定的基础。
2、提高实践动手能力。
大学中许多的时间都是在学习理论知识,很少参与到实践中去,课程设计给我们提供了一个宝贵的机会,理论用于实践,从设计、仿真、调试,每一步的进行,都会带来受益非浅的实际操作训练,许多的实践经验是我们在课本上学不到的,必须经过这样严格的自己动手,才会从中体会出设计成果的喜悦。
理论知识总是要用于实践中才得以升华,我们应该更多的参与实践,以增强我们对电子专业的兴趣。
同时,从开发设计一些小规模产品去体会学习开发设计电子产品的设计思路,为以后的工作打下基础。
二、课程设计任务设计一个篮球比赛24秒倒计时器的电路,此电路能完成数次篮球进攻的24秒倒计时功能,当计时结束后自动恢复为24秒计时的初始状态。
另外,此倒计时器能够手动复位,即当运动员投篮不进或者进球后进行手动复位,使比赛时间重新回到24秒继续进行计时;在比赛开始时可启动计数,当有运动员犯规或者叫暂停等原因时可手动暂停比赛时间,结束暂停后能继续从暂停时刻的显示时间计数;当有运动员持球过多或其他原因,在24秒结束后仍没有投篮,即计数器计到00时刻灯会被点亮,以提醒运动员及裁判24秒违例,从而实现报警等功能。
要求:1、能完成精确的24秒倒计时功能。
2、完成计数器的复位、启动计数、暂停并继续计数、光报警等功能。
3、能显示任意时刻的剩余时间。
三、篮球比赛24秒倒计时器的设计与实现经过对电路功能的分析,整个24秒倒计时电路可由秒脉冲信号发生器、计数器、显示电路、报警电路和辅助控制电路组成。
数字逻辑实验--24s计时器
数字逻辑课程实验报告实验名称时序电路研究之24s计时器实验人姓名学号班级同组人姓名实验时间成绩一、实验内容结合试验箱设计一个计数器能够实现24秒计时功能,设计应具备必要的输入输出和起停功能二、实验原理24s计数器是由分频器,计数器,译码器组成。
如下图2为24s计数器的原理图图2分频器用于较高频率的时钟进行分频操作,得到较低频率的信号,工作原理是计数。
由于实验箱的工作频率为100000HZ,而我们所需要的24S计数器是以S(即1HZ)为计量单位的,所以需要把频率降低。
其原理图如2.1图2,1计数器主要是用来计数的,24s计时器要求从24s开始依次往下降,所以需要一个计数器,在计数器的设计过程中要有暂停键。
下图2,2为其原理图图2。
2译码器是把具有特殊含义的输入代码译成对应输出的有效信号。
因为最终要把代码下载到芯片上,用led数码管显现出来,所以需要把0-24进行编码,可以把个位和十位分别用一个数码管表示。
由于数码管的输入为四位,所以个位和十位分别用四位2进制进行编码。
如图2.3为译码器的原理图和led灯的图。
其编码对应的真值表为:a f00000 "00000000";00001 "00000001";00010 "00000010";00011 "00000011";00100 "00000100";00101 "00000101";00110 "00000110";00111 "00000111";01000 "00001000";01001 "00001001";01010 "00010000";01011 "00010001";01100 "00010010";01101 "00010011";01110 "00010100";01111 "00010101";10000 "00010110";10001 "00010111";10010 "00011000";10011 "00011001";10100 "00100000";10101 "00100001";10110 "00100010";10111 "00100011";11000 "00100100"; orther 111111111图2.3三、VHDL实现1.分频器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity divpin isport(clk,en:in std_logic;Reset: in std_logic;clkout:out std_logic);end divpin;architecture clock of divpin issignal counter:integer range 0 to 3;signal div_clk: std_logic;beginprocess(clk, Reset,en)beginif (Reset='1') thendiv_clk<='1';counter<=0;elseif (en='1') thenif (clk'event and clk='1') thenif (counter=3)thencounter<=0;div_clk<=not div_clk;elsecounter<=counter+1; end if;end if;elsecounter<=0;end if;end if;end process;clkout<=div_clk;end clock;2.计时器library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity clock_24 isport(clk,en,Reset:in std_logic;q:out std_logic_vector(4 downto 0));end clock_24;architecture counter1 of clock_24 issignal counter:std_logic_vector(4 downto 0);beginprocess(clk,en,Reset)beginif (Reset='1') thencounter<="11000";elseif (clk'event and clk='1')thenif(en='1' and counter/="00000")then counter<=counter-1;elsecounter<=counter;end if;end if;end if;end process;q<=counter;end counter1;3.译码器library ieee;use ieee.std_logic_1164.all;entity deco_24 isport(a:in std_logic_vector(4 downto 0);f: out std_logic_vector(7 downto 0) );end deco_24 ;architecture decoder_47 of deco_24 issignal h: std_logic_vector(4 downto 0);beginh<=a;process(h)begincase h iswhen "00000"=>f<="00000000";when "00001"=>f<="00000001";when "00010"=>f<="00000010";when "00011"=>f<="00000011";when "00100"=>f<="00000100";when "00101"=>f<="00000101";when "00110"=>f<="00000110";when "00111"=>f<="00000111";when "01000"=>f<="00001000";when "01001"=>f<="00001001";when "01010"=>f<="00010000";when "01011"=>f<="00010001";when "01100"=>f<="00010010";when "01101"=>f<="00010011";when "01110"=>f<="00010100";when "01111"=>f<="00010101";when "10000"=>f<="00010110";when "10001"=>f<="00010111";when "10010"=>f<="00011000";when "10011"=>f<="00011001";when "10100"=>f<="00100000";when "10101"=>f<="00100001";when "10110"=>f<="00100010";when "10111"=>f<="00100011";when "11000"=>f<="00100100";when "11000"=>f<="00100100";when others=>f<="11111111";end case;end process;end decoder_47 ;四、测试及分析分频器:为了使波形实验结果明显,不妨把频率仅仅降低一点。
(整理)数字电路课程设计实例---24秒计时器
1 计数器概述1.1篮球竞赛24秒计时器功能数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。
因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。
在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。
本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。
一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。
本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。
此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能。
而在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号。
1.2设计任务及要求1.2.1 基本要求(1)显示24秒计时功能。
(2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。
(3)在直接清零时,要求数码显示器灭灯。
(4)计时器为24秒递减计时器,其计时间隔为1秒。
(5)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。
(6)秒脉冲由555多谐振荡器给出。
1.2.2设计任务及目标(1)根据原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的装接、调试、直到电路能达到规定的设计要求;(4)写出完整、详细的课程设计报告1.2.3 主要参考器件555 晶体定时器74 LS74双D触发器74LS47译码器74192双时钟十进制计数器2 电路设计原理与单元模块2.1设计原理24秒计时器的总体参考方案框图如图2.1所示。
它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路等五个模块组成。
其中计数器和控制电路是系统的主要模块。
计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。
24秒计时器课程设计 非常完美版资料
湖南工业大学课程设计资料袋电气与信息工程学院(系、部)2012 ~ 2013 学年第 1 学期课程名称电子技术课程设计指导教师谭波职称学生姓名专业班级学号题目篮球比赛24秒计时器成绩起止日期2012 年12 月24 日~2012 年12 月28 日目录清单湖南工业大学课程设计任务书2012 —2013 学年第1 学期电气与信息工程学院(系、部)电子技术专业测控102 班级课程名称:《电子技术》课程设计设计题目:篮球比赛24秒计时器完成期限:自2012 年12 月24 日至2012 年12 月28 日共 1 周指导教师(签字):年月日系(教研室)主任(签字):年月日(电子技术)设计说明书(题目)篮球比赛24秒计时器起止日期:2012 年12 月24 日至2012 年12 月28 日学生姓名班级学号成绩指导教师(签字)电气与信息工程学院2012年12 月20 日篮球比赛24秒计时器第一章设计任务及要求1.1设计要求1. 具有24秒计时功能。
2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。
3. 在直接清零时,要求数码显示器灭灯。
4. 计时器为24秒递减时, 计时间隔为1秒。
5. 计时器递减计数到“00”时,计时器跳回“24”停止工作,并发出光电报警。
1.2设计任务及目标1.明确设计目的。
2.设计指标。
3.画出设计的原理框图,并要求说明该框图的工作过程及每个模块的功能。
4.设计制作的进程,考虑篮球计时器设计步骤、测试、验证的顺序,写出自己的工作进程。
5.出各功能模块的电路图,加上原理说明。
6.画出总布局接线图(集成块按实际布局位置画,关键的连接单独应画出,计数器到译码器的数据线、译码器到数码管的数据线可以简化画法。
)7.描述设计制作的篮球计时器的运行结果和操作。
8.总结。
(1)设计过程中遇到的问题及解决办法 (2)课程设计过程体会(3)对课程设计内容、方式、要求等各方面的建议。
第二章 总体参考方案2.1设计原理 总体参考方案框图如图1.1所示。
课程设计—24S计时器
电子课程设计——篮球24S计时器学院:电子信息工程学院班级:自动化091501姓名:吕文波学号:0120指导老师:柴婷婷2011年12月目录:13篮球24S 计时器一. 设计任务与要求1、 有显示24秒的计时功能2、 置外部操作开关,控制计时器的直接清零,起碇和暂停连续功能3、 计时器喂24秒递减计时器,其间隔为1秒4、 计时器递减计时到0时,数码显示器不能灭灯 应发出光电报警信号二. 总体框图1、设计框图外部操作信号控制电路秒脉冲发生器译码、显示电路报警电路24S 计数器图1 总体框图2、秒脉冲发生器秒脉冲信号发生器需要产生一定精度和幅度的矩形波信号。
实现这样矩形波的方法很多,可以由非门和石英振荡器构成,可由单稳态电路构成,可以由施密特触发器构成,也可以由555定时器构成等。
不同的电路队矩形波频率的精度要求不同,由此可以选用不同电路结构的脉冲信号发生器。
在设计中由于脉冲信号作为计数器的计时脉冲,其精度直接影响计数器的精度,因此要求脉冲信号有比较高的精度。
一般情况下,要做出一个精度比较高的频率很低的振荡器有一定的难度工程上解决这一问题的办法就是先做一个频率比较高的矩形波震荡器,然后将其输出信号通过计数器进行多级分项,就可以得到频率比较低精度比较高的脉冲信号发生器,其精度取决于振荡器的精度和分级项数。
3、24秒减法计数器:24秒减法计数器可以采用74LS160或者74LS192进行设计,在本设计中采用74LS192设计,74LS192是十进制同步加法|减法计数器,具有直接清零异步置数功能。
(74LS192功能表如图1所示)CPU CPD LD' CR 操作××0 0 置数↑ 1 1 0 加计数1 ↑ 1 0 减计数××× 1 清零4、控制电路按照系统的要求,电路应该完成以下4个功能;1)当操作直接清零按键时,要求计数器清零。
2)当启动按键闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,显示器显示24秒字样。
二十四秒计时器
篮球24秒计时器一、概述随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为了社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。
设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为0;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。
二、方案论证本课程设计是脉冲数字电路的简单应用,设计篮球竞赛24秒计时器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分(如图1所示)。
其中,计数器电路和控制电路时系统的主要部分。
计数器电路完成24s 倒计时功能,而控制电路具有直接控制计数器的启动记数、暂停、连续计数、译码显示电路的显示和灭灯功能。
为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。
在操作直接清零开关时,要求计数器清零,数码显示器显示零。
当启动开关闭合时,控制电路应封锁时钟信号CP ,同时计数器完成置数功能,译码显示电路显示24S 字样;当启动开关断开时,计数器开始计数;当暂停。
连续开关拨在暂停位置上时,计数器停止计数,出于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。
图1 计时器方框图译码驱动十位显示控制电路秒脉冲发生器个位显示计数器译码驱动计数器报警电路三、电路设计此计数器由秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分组成。
1.秒脉冲发生电路如图2,由NE555构成的多谐振振荡器,接通电源后,电容C1被充电,VC上升,当VC 上升到2/3VCC时,触发器被复位,此时V为低电平,电容C通过R2和T放电,使V C 下降,当下降至1/3 VCC时,触发器又被置位,V翻转为高电平。
篮球比赛24s计时器
数字电子技术课程设计任务书院(系):信息工程系姓名学号班级专业篮球比赛进攻24s计时器设计设计题目任务描述:总设计要求:设计一个用于篮球比赛的进攻24s计时器,要求如下:(1)计时器可以从24s递减计时,时间间隔0.1s。
(2)计时器具有24s显示功能,显示精度为0.1。
(3)计时器具有外部控制直接清零、启动、暂停/连续功能。
(4)当按下清零键时,计时器显示00.0.当按下启动键时,计时器从24s开始递减计时工作。
在计时器工作时,按下暂停/连续键,计时器停止计时,当再按下暂停/连续键时,计数器将继续倒计时工作。
(5)当计时器递减计时到零时,计数器发出声光报警信号,同时显示00.0;当按下清零键时,声光报警解除。
个人设计内容:设计并调试24s定时计数功能模块。
构建24进制减法计数器,计数器时钟脉冲使用10hz系统脉冲,计数器置数端接入复位按键,实现时间预置和计时启动。
同时,计数器设置暂停功能,可由外部信号控制计数暂停与开始。
计数值接入显示电路进行实时倒数计数显示,当计数置减为零时,计数器计数停止,并发出警报信号,等待置数清零指令。
进度安排:周六上午,设计优先编码器模块与24进制减法计数器模块。
周六下午,调试优先编码器模块与24进制减法计数器模块。
周日下午,设计并调试显示电路模块。
周日下午,设计并调试控制电路。
周一上午,下载程序,连接线路系统整体调试。
周一下午,验收。
目录一、设计任务................................................................................................... .. (1)1.1总设计要求.............................................................................................. (1)1.2个人设计任务.............................................................................................. (1)二、系统设计方案论述................................................................................................... . (1)三、各模块设计................................................................................................... . (1)3.1震荡分频电路.............................................................................................. (1)3.2定时电路.............................................................................................. (2)3.2.1功能描述.......................................................................................... (2)3.2.2设计思路及实现.......................................................................................... (2)3.2.3电路仿真.......................................................................................... (3)3.3显示电路.............................................................................................. (3)3.3.1功能描述.......................................................................................... (3)四、系统电路设计................................................................................................... (5)4.1由各功能模块连成的系统电路图 (5)4.2系统仿真图.............................................................................................. (6)4.3系统下载测试结果.............................................................................................. (6)五、总结与体会................................................................................................... . (7)一、设计任务1.1总设计要求设计一个用于篮球比赛的进攻24s计时器,要求如下:1计时器可以从24s递减计时,时间间隔0.1s。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
绪论本课程设计是数字逻辑电路知识的简单应用,利用计数器、译码器和与门、或门、与非门等门电路制作一个逆计数器。
通过外部的开关控制,可控制不同的功能,本设计关键在于对计数器、门电路、译码器的运用和个人的逻辑思维。
利用计数器计数,通过各种不同的门电路组合构成不同的反馈,从而形成24制计数规则,最后通过译码器和数码管输出显示。
1、设计的目的、要求及其技术指标1.1设计的目的1.学习基本理论在实践中综合运用的初步经验,掌握数字电路系统设计的基本方法、设计步骤,进一步熟悉和掌握常用数字电路元器件的应用。
2.学习和练习在面包板上接线的方法、技术、注意事项。
3.学习数字电路实物制作、调试、测试、故障查找和排除的方法、技巧。
4.培养细致、认真做实验的习惯。
5.培养实践技能,提高分析和解决实际问题的能力。
1.2设计的要求1. 具有24秒计时功能。
2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。
3. 在直接清零时,要求数码显示器灭灯。
4. 计时器为24秒递减时, 计时间隔为1秒。
5. 计时器递减到零时,数码显示器不能灭灯,蜂鸣器要报警、发光二极管亮灯。
1.3设计的技术指标1.分析所设计的电路,确定参数,选择出一种最适合本课题的方案。
在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。
2.运用仿真软件Multisim对电路进行仿真。
观察效果并与课题要求的性能指标作对比。
3.搭建电路,进行调试。
2、方案设计的思路和过程2.1系统框图2.2总体设计思路正如系统方框图一样,此方案主要分单元进行设计。
由课题很显然方案的主体是计数器单元的设计,通过译码显示电路显示出来。
这两者都需要被提供一个脉冲。
其余的单元按照所给要求进行线路的设计即可。
3、单元电路的设计 3.1秒脉冲发生器3.1.1 555定时器的电路结构和工作原理 内部结构:工作原理:译码显示报警电路计数器 秒脉冲 发生器控制电路 启动暂停/连续 直接清零它含有两个电压比较器,一个基本RS 触发器,一个放电开关T ,比较器的参考电压由三只5KΩ的电阻器构成分压,它们分别使高电平比较器C1同相比较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 31。
C1和C2的输出端控制RS 触发器状态和放电管开关状态。
当输入信号输入并超过Vcc 32时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于Vcc 31时,触发器置位,555的3脚输出高电平,同时放电,开关管截止。
D R 是复位端,当其为0时,555输出低电平。
平时该端开路或接Vcc 。
Vco 是控制电压端(5脚),平时输出Vcc 32作为比较器A1的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。
T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路。
3.1.2 555电路的引脚功能555引脚图555定时器功能表输入输出阈值输入I1ν 触发输入I2ν 复位D R输出0ν放电管T××0 0 导通 Vcc 32< Vcc 31< 1 1 截止 >Vcc 32Vcc 31> 1 0 导通 Vcc 32< Vcc 31> 1不变不变3.1.3 NE555的特点1.只需简单的电阻器、电容器,即可完成特定的振荡延时作用。
其延时范围极广,可由几微秒至几小时之久。
2.它的操作电源电压范围极大,可与TTL ,CMOS 等逻辑电路配合,也就是它的输出准位及输入触发准位,均能与这些逻辑系列的高、低态组合。
3.其输出端的供给电流大,可直接推动多种自动控制的负载。
4.它的计时精确度高、温度稳定度佳,且价格便宜。
5.静态电流 最大值 VCC = 5 V, RL = ∞ =6mA VCC =15 V, RL = ∞ =15mA 3.1.4秒脉冲的设计(用555定时器构成多谐振荡器) 1.电路组成:如下图(a )2.工作原理:接通电源后,电容C 被充电,当c ν上升到Vcc 32时,使0ν为低电平,同时放电三极管T 导通,此时电容C 通过2R 和T 放电,c ν下降。
当c ν下降到Vcc 31时,0ν翻转为高电平。
电容器C 放电所需的时间为pL 22t =R Cln20.7R C ≈当放电结束时,T 截止,cc V 将通过1R 、2R 先锋电容器C 充电,c ν由Vcc31上升到Vcc 32所需的时间为pH 1212t =R +R Cln20.7R +R C ≈()()当c ν上升到Vcc 32时,电路又翻转为低电平。
如此周而复始,于是,在电路的输出端就得到个周期性的矩形波。
器振荡频率为pL pH 1211.43f=t +t R +2R C≈()uc DDV 32利用此原理设计秒脉冲:参数选取:1R =6k Ω 2R =4.7k Ω C=100μF 理论计算:pL pH 1211.43f=t +t R +2R C≈()1.43==0.936+2 4.70.1⨯⨯()3.2计数器3.2.1 74LS192芯片及其功能74LS192是同步十进制可逆计数器,具有双时钟输入,并具有清零和置数等功能,其引脚排列及逻辑符号如下图所示。
D TC ——错位输出端(低电平有效) U TC ——进位输出端(低电平有效)D CP ——减计数时钟输入端(上升沿有效) U CP ——加计数时钟输入端(上升沿有效)MR ——异步清除端 P0P3——并行数据输入端PL ——异步并行置入控制端(低电平有效) Q0Q3——输出端74LS192引脚排列及逻辑符号74LS192的功能,说明如下:当清零端MR 为高电平“1”时,计数器直接清零;MR 置低电平则执行其它功能。
当MR 为低电平,置数端PL 也为低电平时,数据直接从置数端P0、P1、P2、P3置入计数器。
当MR 为低电平,PL 为高电平时,执行计数功能。
执行加计数时,减计数端D CP 接高电平,计数脉冲由U CP 输入;在计数脉冲上升沿进行8421码的十进制加法计数。
执行减计数时,加计数端U CP 接高电平,计数脉冲由减计数端D CP 输入。
3.2.2计数器的级联使用一个十进制计数器只能表示0-9十个数,为了扩大计数器计数范围,常将多个十进制计数器级联使用。
同步计数器往往设有进位(或借位)输出端,故可选用其进位(或借位)输出信号驱动下一级计数器。
3.2.3计数器部分设计的电路说明:当按下启动开关J1时,置数端得到有效电平,给十位置2,个位置4,同时与门打开,即开光J3闭合,秒脉冲进入芯片1减计数时钟输入端4号脚开始减计数,当芯片1减为0时,13号脚即产借位信号,同时为芯片2的4号脚提供减计数时钟有效沿,如此实现24秒倒计时。
3.3译码显示模块3.3.1 CD4511引脚图及功能CD4511的引脚图CD4511是一个用于驱动共阴极 LED(数码管)显示器的 BCD 码—七段码译码器,特点如下:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。
可直接驱动LED显示器。
其功能介绍如下:BI:4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。
LT:3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入 DCBA 状态如何,七段均发亮,显示“8”。
它主要用来检测数码管是否损坏。
LE:锁定控制端,当LE=0时,允许译码输出。
LE=1时译码器锁存。
A1、A2、A3、A4:为8421BCD码输入端。
a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。
CD4511的内部有上拉电阻,在输入端与数码管笔段端接上限流电阻就可工作。
3.3.2 数码管数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元(多一个小数点显示);按能显示多少个“8”可分为1位、2位、4位等等数码管;按发光二极管单元连接方式分为共阳极数码管和共阴极数码管。
共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管。
共阳数码管在应用时应将公共极COM接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮。
当某一字段的阴极为高电平时,相应字段就不亮。
共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管。
共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮。
当某一字段的阳极为低电平时,相应字段就不亮。
数码管要正常显示,就要用驱动电路来驱动数码管的各个段码,从而显示出我们要的数字,由于发光二极管基本上属于电流敏感器件,其正向压降的分散性很大,并且还与温度有关,为了保证数码管具有良好的亮度均匀度,就需要使其具有恒定的工作电流,且不能受温度及其它因素的影响。
3.3.3译码显示模块设计的电路3.4报警电路采用光电报警,当倒计时的十位与个位同时为0时,计数器的13脚即借位端输出低电平(通常都为高电平),发光二极管亮灯,且蜂呜器发出响声。
接U2的13脚3.5控制电路当按下启动开关J1时给74ls192置数端提供有效低电平,同时给74ls192提供有脉冲,使计数。
当倒计时至0时74ls192芯片十位产生借位,输出低电平,即可报警。
当按下暂停、连续开关J3时,为4511LT锁存引脚提供有效高电平,将显示信与锁存,同时将秒脉冲截断,使计数保持。
当按下清零灭显示器开关时给两片74ls192芯片清零端MR,提供有效高电平,将计数值清零。
4、仿真电路图及结果·仿真电路图见附件A仿真结果:金陵科技学院课程设计报告5、实际电路5.1 实际电路图5.2 实际电路结果显示6、设计结果分析与总结通过本次课程设计,我熟悉了一个电路设计作品的整个制作过程。
首先,我觉得通晓整个设计的元器件是最基础的。
如果你对某个元件的功能或者引脚不能完全弄懂,也会使得你所需要的结果出不来。
比如74LS192的MR脚,在计数的时候必须是低电平。
因此各个元件的功能引脚必须熟记于心。
其次是这个元件的工作方式,是在怎样的情况下工作的。
比如显示器,需要加电阻进行限流。
除此之外,在电路图设计时要考虑到元器件的使用个数,需要我们参考市场价格做出既便宜又能实现设计要求的设计;调试的时候不要急躁,要一部分一部分进行调试,出现问题要分析现象再估计错误,接着验证解决。
如不计数,那可能是由于芯片不能正常工作,这时就要对每个芯片进行测量,看其是否都工作在工作电压下。