内蒙古大学数字逻辑交通灯报告
数字交通灯的实训报告
一、实训背景随着我国城市化进程的加快,城市交通问题日益突出,交通拥堵、交通事故等问题严重影响市民的生活质量和城市形象。
为提高交通效率,减少交通事故,我国正逐步推广数字交通灯的应用。
本实训旨在通过设计和实现一个基于单片机的数字交通灯控制系统,了解数字交通灯的工作原理,掌握数字电路的设计与调试方法。
二、实训目的1. 熟悉数字交通灯的工作原理和控制方法。
2. 掌握单片机在数字交通灯控制系统中的应用。
3. 提高数字电路的设计与调试能力。
4. 培养团队合作精神。
三、实训内容1. 数字交通灯控制系统原理数字交通灯控制系统主要由单片机、传感器、执行器和显示模块组成。
单片机作为控制核心,负责接收传感器信号,根据预设的程序控制执行器动作,并通过显示模块显示交通灯状态。
2. 系统设计(1)硬件设计本实训采用AT89C51单片机作为控制核心,传感器采用红外传感器检测车辆,执行器采用继电器控制交通灯。
系统硬件框图如下:```+-----------------+| 单片机 |+-----------------+/ \/ \/ \/ \/ \/ \+--------+ +--------+ +--------+| 红外传感器 | | 继电器模块 | | 显示模块 |+--------+ +--------+ +--------+```(2)软件设计本实训采用C语言进行编程,主要完成以下功能:1. 读取红外传感器信号,判断车辆状态。
2. 根据预设程序控制继电器动作,实现交通灯状态切换。
3. 显示交通灯状态和剩余时间。
3. 系统调试(1)硬件调试首先检查电路连接是否正确,然后通过单片机编程烧录程序。
在硬件调试过程中,需检查传感器、继电器和显示模块是否正常工作。
(2)软件调试在软件调试过程中,需检查程序逻辑是否正确,交通灯状态切换是否准确,显示模块是否正常显示。
四、实训结果与分析1. 实训结果通过本实训,成功设计并实现了一个基于单片机的数字交通灯控制系统。
数字逻辑课程设计报告--交通灯控制器
数字逻辑课程设计报告——交通灯控制器学院名称:学生姓名:专业名称:班级:实习时间:2013年6月3日—— 2013年6月14日一、实验目的:1.掌握时序逻辑电路的设计方法,灵活运用理论知识。
2.提高自己的数字系统设计能力和实际动手能力。
3.了解如何将数字电路设计应用到自动控制系统中,从而提高解决实际问题的能力。
二、实验任务与要求1.红绿灯交通信号系统外观示意图2.总体任务及要求⑴ 在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯、黄灯、红灯;另一个方向是红灯、绿灯、黄灯。
⑵ 设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上红灯亮的时间是30s ,另一个方向上绿灯亮的时间是20s ,黄灯亮的时间都是5s 。
⑶ 用两组数码管,实现双向倒计时显示。
3.总时序工作流程图三、总体方案的设计1、主控电路在设计要求中要实现四种状态的自动转换,首先要把这四种状态以数字的形态表示出来,可以通过两位二进制数表示所需状态(00—Gr, 01—Yr, 11—Rg, 10—Ry),循环状态:(00—01—11—10—00)。
可以设计一个模为4的计数器,其输出(代表不同状态)既可以循环转换,而且能够控制其他部分电路,所以可以利用74LS74(双上升沿D触发器)设计模4计数器作为主控部分电路。
主控电路2、脉冲输出部分脉冲输出部分为555时基芯片构成的多次谐波震荡器,电路原理图如右:其中器件参数分别为R1=4.7kΩ,R2=150kΩ,C1=4.7μF,C2=0.01μF。
产生的时钟脉冲为周期T=1s的方波。
电容C1充电时,暂稳态持续时间为tw1=0.7(R1+R2)C=0.7×(150k+4.7k) ×4.7μ≈0.5s电容C1放电时,暂稳态持续时间为tw2=0.7R2C=0.7×150k×4.7μ≈0.5s因此,电路输出矩形脉冲的周期为T= tw1+tw2≈1s输出占空比为q= tw1/T≈50%脉冲输出3、红绿灯显示电路红绿灯显示是表示电路所处状态,受到主控电路控制,即主控电路的输出(A和B)决定了主干道和支干道的红绿灯的情况。
数字系统课程设计 交通灯 实验报告
交通灯控制电路摘要在一个交通繁忙的十字路口,没有交通灯来控制来往车辆和行人的通行,假设也没有交警,那会发生什么事情呢?后果是难以想象的,可能会陷入一片混乱,甚至瘫痪。
当然我们每个人都不希望这样。
我们作为社会的一员,每人都有责任为它的更加先进和快捷做出力所能及的事情。
我设计的这个交通控制系统可以通过交通灯控制东西方向车道和南北方向车道两条主次交叉道路上的车辆交替运行,用以减少交通事故的发生概率。
并且经过这次实验使得我对电子技术课程内容的理解和掌握有了更深一层的认识,也学会使用半导体元件和集成电路,掌握电子电路的基本分析方法和设计方法,进一步提高分析解决实际问题的综合能力,也为将来的就业或继续深造做好准备。
一、任务在城市道路上的交叉路口一般设置有交通灯,用于管理两条道路通行车辆。
现有一条主干道和一条支干道的汇合点形成十字交叉口,为确保车辆安全、迅速的通行,在交叉路口的每条道上设置一组交通灯,交通灯由红、黄、绿3色组成。
红灯亮表示此通道禁止车辆通过路口;黄灯亮表示此通道未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯亮表示该通道车辆可以通行。
要求设计一交通灯控制电路以控制十字路口两组交通灯的状态转换,指挥车辆安全通行。
指挥车辆安全通行。
设计要求1、基本要求(1)设计一个十字路口交通灯控制电路,要求主干道与支干道交替通行。
主干道通行时,主干道绿灯亮,支干道红灯亮,时间为60秒。
支干道通行时,支干道绿灯亮,主干道红灯亮,时间为30秒。
(2)每次绿灯变红灯时,要求黄灯先亮5秒钟。
此时另一路口红灯也不变。
(3)黄灯亮时,要求黄灯闪烁,频率为1Hz。
2、发挥部分要求在绿灯亮(通行时间内)和红灯亮(禁止通行时间内)均有倒计时显示。
二、设计方案选取与论证1、所选方案的理由:本设计的交通灯控制电路是综合运用了74LS192芯片、CD4017芯片和NE555芯片等的集成电路。
根据任务要求,用单片机或分立组件来实现是比较容易的,但是由于要求不能使用单片机设计,因此使用数字电路课程里学过的知识,运用它们来设计分析电路。
交通灯plc实验报告
交通灯plc实验报告交通灯PLC实验报告摘要:本实验旨在利用PLC(可编程逻辑控制器)技术,设计并实现一个交通灯控制系统。
通过该实验,我们掌握了PLC的基本原理和应用,同时也深入了解了交通灯控制系统的工作原理。
一、实验目的1. 了解PLC的基本原理和应用;2. 掌握交通灯控制系统的工作原理;3. 设计并实现一个基于PLC的交通灯控制系统。
二、实验原理1. PLC的基本原理PLC是一种专门用于工业控制的计算机控制系统,它能够根据预先编写的程序自动完成各种控制任务。
PLC系统通常由输入模块、输出模块、中央处理器和编程设备组成。
2. 交通灯控制系统的工作原理交通灯控制系统通常由红灯、黄灯和绿灯三种状态组成,根据不同的交通情况切换不同的状态,以确保交通的顺畅和安全。
三、实验设备1. PLC控制器;2. 交通灯模拟器;3. 编程软件。
四、实验步骤1. 连接PLC控制器和交通灯模拟器;2. 编写PLC程序,实现交通灯的红、黄、绿灯状态切换;3. 上传程序到PLC控制器;4. 测试交通灯控制系统的运行情况;5. 分析实验结果。
五、实验结果经过实验,我们成功地设计并实现了一个基于PLC的交通灯控制系统。
在不同的交通情况下,交通灯能够准确地切换红、黄、绿灯状态,确保交通的顺畅和安全。
六、实验总结通过本次实验,我们深入了解了PLC的基本原理和应用,同时也掌握了交通灯控制系统的工作原理。
PLC技术在工业控制领域有着广泛的应用,通过本次实验的学习,我们对其应用有了更深入的理解和掌握。
在今后的学习和工作中,我们将进一步加强对PLC技术的学习和实践,为工业控制领域的发展做出更多的贡献。
交通灯实践报告
交通灯实践报告一、引言随着城市化进程的加快,交通问题日益凸显,特别是在城市繁忙的路口,交通拥堵和事故频发。
为了解决这一问题,许多城市开始采用智能交通灯系统。
本实践报告旨在调查和研究交通灯的运作原理以及智能交通灯系统的优势。
二、交通灯的运作原理1. 交通灯的基本构成:交通灯通常由红、黄、绿三个灯组成,分别代表停止、警告和行驶。
此外,还有倒计时器、行人信号灯等辅助设备。
2. 交通灯的运作模式:交通灯通过控制各个灯的亮灭和持续时间,实现交通流的有序控制。
一般情况下,交通灯的运作模式分为直行、左转、右转和行人过马路四种。
三、智能交通灯系统1. 概述:智能交通灯系统是一种利用现代通信技术、计算机技术和传感器技术实现交通灯的智能控制的系统。
它可以根据实时交通流量、道路状况等信息,自动调整交通灯的亮灭和持续时间,实现交通流的优化控制。
2. 智能交通灯系统的优势:(1)提高交通效率:智能交通灯系统可以根据实时交通流量自动调整交通灯的亮灭和持续时间,避免交通拥堵,提高道路通行能力。
(2)减少交通事故:智能交通灯系统可以实时监测道路状况,避免因人工操作失误导致的交通事故。
(3)节能环保:智能交通灯系统可以根据实际需求调整灯光亮度,节省能源消耗,减少环境污染。
(4)便于管理:智能交通灯系统可以远程监控和控制交通灯的运行状态,便于交通管理部门进行管理和调度。
四、结论通过本次实践报告,我们了解了交通灯的运作原理以及智能交通灯系统的优势。
随着科技的发展,智能交通灯系统在解决城市交通问题方面具有巨大的潜力。
我们希望在未来能看到更多的城市采用智能交通灯系统,提高交通效率,保障市民的出行安全。
数字逻辑交通灯实验报告
实验报告一、实验课题交通灯控制器二、任务要求在十字路口,每条道路各有一组红、黄、绿灯和倒计时显示器,用以指挥车辆和行人有序的通行。
具体要求如下:(1)在十字路口的两个方向上各设一组红绿黄灯。
(2)每个方向设置一组数码光,以倒计时的方式显示允许通行或禁止的时间。
可以自设时间。
(3)允许当特殊情况出现时,比如紧急状态,个方向上均是红灯亮,且显示数字在闪烁。
或者模拟夜间黄灯闪烁。
三、设计方案整个系统分为控制器模块、分频器模块、译码器模块。
1.控制器模块:控制器分为两个,A,B两路各一个,分别为ALU,BLU。
以A路为例,灯亮顺序为:红30秒 黄5秒绿25秒,并有特殊情况按键输入---------special。
当special=0时,交通灯正常显示,为1时候,两路全红灯。
代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity Alu isport(clk,special:in std_logic;ar,ag,ay:out std_logic; ----红、绿、黄timas,timag:out std_logic_vector(3 downto 0)); ----十位、个位计数end Alu;architecture alu_arc of Alu istype rgly is(red,green,yellow); ---灯亮顺序为红30 黄5 绿25beginprocess(clk)variable a:std_logic; ----变量声明variable ts,tg:std_logic_vector(3 downto 0);variable state:rgly;beginif special='1' then ar<='1';elsif clk'event and clk='1' then ---高电平case state iswhen red=>if a='0' then --红灯状态ts:="0010"; --十位计2tg:="1001"; --个位计9a:='1';ag<='0';ay<='0';ar<='1'; -------------------------------------------------红灯先亮elseif not(ts="0000" and tg="0001") then --若计数值不为1if tg="0000" then --若个位为0tg:="1001"; --个位置9ts:=ts-1; --十位自减1elsetg:=tg-1; --个位自减1end if;elsets:="0000";tg:="0000";a:='0';state:=yellow;----转为黄灯状态end if;end if;when yellow=>if a='0' then -----黄灯状态ts:="0000"; ---十位置0tg:="0100"; ----个位置9a:='1';ag<='0' ;ay<='1'; --------------------------------黄亮ar<='0';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=green;end if;end if;when green=>if a='0' then --------红灯状态ts:="0010"; ----十位置2tg:="0100"; ---个位置4a:='1';ar<='0';ay<='0';ag<='1';elseif not(ts="0000" and tg="0001") thenif tg="0000" thentg:="1001";ts:=ts-1 ;elsetg:=tg-1;end if;elsets:="0000";tg:="0000";a:='0';state:=red;end if;end if;end case;timas<=ts;timag<=tg;end if;end process;end alu_arc;BLU和ALU相似,亮灯顺序为:绿25秒,黄5秒,红30秒。
交通灯控制逻辑电路设计实验报告
数字设计课程实验报告实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号:培养类型:年级:专业:所属学院:指导教员:职称:实验室:实验日期:交通灯控制逻辑电路的设计与仿真实现一、实验目的:1. 熟悉Multisim仿真软件的主要功能和使用;2. 熟悉各种常用的MSI时序逻辑电路的功能和使用;3. 运用逻辑设计知识,学会设计简单实用的数字系统;二、实验任务及要求:1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路;每个干道各一组指示灯红、绿、黄;要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着;2.要求:1分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图;2设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;3设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图;在Multisim 仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确;4用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图;在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确;5在Multisim仿真平台上形成整个系统完整的电路,统调测试结果;三、设计思路与基本原理:依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示;其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行;在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试;接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试;最后完成定时电路的设计与调试;整合电路,形成整个系统完整的电路,统调测试结果;图错误!未定义书签。
数字逻辑课程设计-交通灯设计报告[1]
设计报告<一> 课程性质数字逻辑课程设计<二> 课程目的训练学生综合地运用所学的《数字逻辑》的基本知识,使用电脑EWB仿真技术,独立完整地设计一定功能的电子电路,以及仿真和调试等的综合能力。
本次电脑仿真所用的软件版本为EWB Version5.0c<三>课程设计题目题目:交通灯控制电路的设计要求:1、设计一个十字路口的交通灯控制电路,要求东西方向车道和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45秒。
时间可设置修改。
2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道;3、黄灯亮时,要求每秒闪亮一次。
4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用倒计时的方法)。
5、同步设置人行横道红、绿灯指示。
〈四〉设计原理与参考电路1、分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图如图1-1所示。
它主要由倒计时计数电路、信号灯转换器和秒脉冲信号发生器组成。
秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁控制电路的标准时钟信号源,倒计时计数器输出两组驱动信号T5和T0,经信号灯转换器控制信号灯工作,倒计时计数电路是系统的主要部分,由它控制信号灯转换器的工作。
2、信号灯转换器两方向车道的交通灯的运行状态共有4种(因人行道的交通灯和车道的交通灯是同步的,所以暂时先不考虑),如图1-2所示信号灯状态与车道运行状态如下:S0:东西方向车道的绿灯亮,车道通行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行S1:东西方向车道的黄灯亮,车道缓行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行S2:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的绿灯亮,车道通行,人行道禁止通行S3:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的黄灯亮,车道缓行,人行道禁止通行Ga=1:东西方向车道绿灯亮 Ya=1:东西方向车道黄灯亮Ra=1:东西方向车道红灯亮,人行道绿灯亮;南北方向人行道红灯亮 Gb=1:南北方向车道绿灯亮 Yb=1:南北方向车道黄灯亮Rb=1:南北方向车道红灯亮,人行道绿灯亮;东西方向人行道红灯亮 方案一:若选集成计数器74163,74163是一个具有同步清零、同步置数、可保持状态不变的4位二进制同步加法计数器。
数电实验报告交通灯
数电实验报告交通灯数字电路课程设计报告书——交通灯控制器学院名称:学生姓名:专业名称:电子工程学院王伟哲集成电路设计与集成系统班级:电路0903实习时间:011年6月20日——011年7月1日一实验目的题目:交通灯控制器通过本次实习利用所给器材和要实现的电路功能结合理论知识实际要求设计出合理的电路,达到深刻理解理论知识和其与实际运用的差异,初步掌握模拟与数字逻辑电路系统设计的基本方法。
二实验任务与要求红绿灯交通信号系统外观示意图如图所示。
1.在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一方向是绿灯、黄灯、红灯;另一方向是红灯、绿灯、黄灯。
2.设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间是20s,另一个方向上绿灯亮的时间是30s,黄灯亮的的时间都是5s。
3.用两组数码管,实现双向倒计时显示。
总时序工作流图主干道次干道绿灯亮红灯亮黄灯亮红灯亮红灯亮三使用元件①元件清单 1.74ls1612.74ls1393.74ls5554.74ls485.74ls006.74ls047.74ls08秒5秒20秒5秒红灯亮绿灯亮黄灯亮②元件管脚和功能表CLRCLKABCDENPGNDVCCRCOQAQBQCQDENTLD74161功能表74ls161管脚及其功能表VCC2G2A2B2Y02Y12Y22Y374139功能表74ls139及其功能表BCDAGND74ls48的管脚和功能表74ls00管脚74ls04管脚74ls08管脚前言现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。
因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。
交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。
有了交通灯人们的安全出行有了很大的保障。
自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。
数电交通灯实训报告
本次数电交通灯实训旨在通过实际操作,使学生掌握数字电路的基本原理和应用,熟悉交通灯控制系统的设计流程,提高学生解决实际问题的能力。
通过实训,学生能够了解交通灯控制系统的基本组成、工作原理以及设计方法,为后续相关课程的学习和实践打下坚实的基础。
二、实训内容1. 交通灯控制系统组成交通灯控制系统主要由以下几个部分组成:(1)控制器:负责控制交通灯的运行状态。
(2)信号灯:包括红灯、黄灯和绿灯,分别对应车辆和行人的通行情况。
(3)传感器:用于检测车辆和行人的通行情况,如红外传感器、地感线圈等。
(4)驱动电路:将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
2. 交通灯控制系统工作原理交通灯控制系统采用定时控制方式,根据预设的时间顺序依次切换红灯、黄灯和绿灯。
具体工作原理如下:(1)控制器根据预设的时间顺序,依次输出控制信号。
(2)驱动电路将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
(3)传感器检测车辆和行人的通行情况,并将信号反馈给控制器。
(4)控制器根据传感器反馈的信号,调整交通灯的运行状态。
3. 交通灯控制系统设计本次实训采用数字电路设计交通灯控制系统,主要包括以下几个步骤:(1)设计交通灯控制器的逻辑电路。
(2)设计驱动电路。
(3)设计传感器电路。
(4)设计电路板并进行焊接。
1. 设计交通灯控制器的逻辑电路根据交通灯控制系统的要求,设计交通灯控制器的逻辑电路。
首先,分析交通灯控制器的输入输出关系,列出真值表。
然后,根据真值表,设计相应的逻辑电路,如组合逻辑电路、时序逻辑电路等。
2. 设计驱动电路根据交通灯控制器的逻辑电路,设计驱动电路。
驱动电路的主要作用是将控制信号转换为驱动信号,驱动信号灯和传感器等设备。
驱动电路的设计主要包括以下几个方面:(1)选择合适的驱动器件,如三极管、MOS管等。
(2)设计驱动电路的电路图。
(3)进行电路仿真,验证驱动电路的性能。
3. 设计传感器电路根据交通灯控制系统的要求,设计传感器电路。
数字逻辑课程设计报告——交通灯
数字逻辑课程设计报告——交通灯交通灯设计⼀、红绿灯交通信号系统功能概述红绿灯交通信号系统为模拟实际的⼗字路⼝交通信号灯。
外部硬件电路包括:两组红黄绿灯(配合⼗字路⼝的双向指挥控制)、⼀组⼿动与⾃动控制开关(针对交通警察指挥交通控制使⽤)、倒计时显⽰器(显⽰允许通⾏或禁⽌通⾏时间)。
⼆、任务和要求:1.在⼗字路⼝的两个⽅向上各设⼀组红黄绿灯,显⽰顺序为其中⼀⽅向是绿灯、黄灯、红灯;另⼀⽅向是红灯、绿灯、黄灯。
2.设置⼀组数码管,以倒计时的⽅式显⽰允许通⾏或禁⽌通⾏时间,其中⼀个⽅向上绿灯亮的时间是20s,另⼀个⽅向上绿灯亮的时间是30s,黄灯亮的的时间都是5s。
3.选做:当任何⼀个⽅向出现特殊情况,按下⼿动开关,其中⼀个⽅向常通⾏,倒计时停⽌。
当特殊情况结束后,按下⾃动控制开关,恢复正常状态。
4.选做:⽤两组数码管,实现双向倒计时显⽰。
三、设计思路概述:1.任务分析:交通灯控制器主要实现两部分功能:①东西、南北双向通路的红、绿、黄灯控制;②东西向主通路的倒计时显⽰。
另外,在此设计中还实现了紧急情况下的强制中断功能。
2. 系统外观⽰意图:3.具体功能分析:此电路为⼗字路⼝交通灯控制电路,要求东西向和南北向不能同时出现绿(黄)灯,发⽣“撞车”现象。
即当某⼀⽅向为绿灯或黄灯时,另⼀⽅向必为红灯。
东西向主通路有倒计时显⽰。
设计时序如下:东西向南北向4.电路框图设计:说明:①脉冲输出部分为555时基芯⽚构成的多次谐波振荡器,由其产⽣周期为1s的时钟脉冲信号。
②计时控制部分主要由两⽚74LS161(⼗六进制同步加法计数器)、74LS74(边沿D触发器)及逻辑门电路构成。
产⽣30s、20s和5s的倒计时信号。
③彩灯控制部分将计时控制部分输出的信号通过逻辑门电路及74LS139(双2—4线译码器)产⽣控制信号,控制彩灯按照响应时序显⽰,并将74LS139的输出信号反馈回计时控制部分实现三种倒计时之间的切换。
④数字显⽰部分主要由74LS48(7段显⽰译码器)、74LS04(反相器)及8段共阴极数码管构成,通过接⼊计时控制部分的信号实现倒计时显⽰。
数字逻辑实验告 交通灯
计算机科学与工程学院综合设计报告设计名称:数字逻辑综合设计设计题目:可人工干预的交通灯控制器学生学号:专业班级:学生姓名:学生成绩:指导教师(职称):课题工作时间:2010/12/27 至2011/01/07成绩评定表学生姓名:学号:班级:答辩记录表指导教师评语计算机科学与工程学院综合设计报告目录摘要 (I)Abstract (II)第一章概述............................................................. .. (1)1.1 背景 (1)1.2 目的 (1)1.3 意义 (1)1.4 原理............................................................................................... ..1第二章设计简介及设计方案论述. (3)2.1 设计流程图 (3)2.2 方案论述 (3)第三章详细设计 (5)3.1 555计时器构成的多谐振荡器 (5)3.260进制计数器 (6)3.360进制倒计时计数器 (8)3.4人工干预 (9)3.5红黄绿灯控制电路 (10)3.6设计总电路及元件清单 (11)第四章设计结果及分析 (13)4.1 设计结果 (13)4.2 过程分析 (13)总结 (14)致谢 (15)参考文献 (16)计算机科学与工程学院综合设计报告摘要随着社会经济的发展和机动车辆的不断增加,城市交通问题越来越引起人们的关注。
交通对我们生活的影响更加明朗,已成为交通管理部门需要解决的重要问题之一。
很多因素都会影响在道路中的行车状况,所以一个功能健全的交通控制器尤为重要。
城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。
如何利用所学知识设计出来一个最简单合适的可人工干预的交通灯电路是此次设计主要解决的问题。
数字电路课程设计-交通灯实验报告
数字电路课程设计交通灯实验报告一、课程设计题目交通灯控制系统设计二、设计的任务和要求1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。
要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求;2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。
红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒。
三、系统总体设计方案及系统框图方案一:芯片设计(1)芯片功能及分配交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。
2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。
3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。
整个设计共由以上三部分组成。
(2)设计原理:1)总体方案如图:2)各单元电路的设计:1. 秒脉冲信号发生器时钟信号产生电路主要由555定时器组成震荡器,产生稳定的脉冲信号,送到状态产生电路,状态产生电路根据需要产生秒脉冲,电路图如下图所示:2.主控制电路D1=Q1/Q2+/Q1Q2(/表示取非) D2=/Q2 CLK=CO2 CLR和PR均置1.主控制电路可产生00---->01---->10---->11----00控制信号。
3.红绿灯显示电路电路图如图:4. 计时部分电路A ) 计时器状态产生模块:设计要求对不同的状态维持的时间不同,限于实验室器材只提供74LS161.因要以十进制输出,且有一些状态维持时间超过10秒,则必须用两个74LS161分别产生个位和十位的数字信号。
数电综合实验报告2--交通灯
数电综合实验报告2--交通灯数字逻辑与数字系统设计综合实验二——十字路口交通灯自动控制器的设计学院电子工程学院班级卓越001012班学号00101201姓名冉艳伟实验时间2012.6.8十字路口交通灯自动控制器的设计一、实验目的学习QuartusII的使用方法,熟悉可编程逻辑器件的使用。
通过制作来了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制。
二、设计任务设计一个十字路口交通控制系统,其东西,南北两个方向除了有红、黄、绿灯指示是否允许通行外,还设有时钟,以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。
当东西或南北两路中任一道上出现特殊情况,例如有消防车,警车要去执行任务,此时交通控制系统应可由交警手动控制立即进入特殊运行状态,即两条道上的所有车辆皆停止通行,红灯全亮,时钟停止计时,且其数字在闪烁。
当特殊运行状态结束后,管理系统恢复原来的状态,继续正常运行。
东西干道图1 路口交通管理示意图三、实验要求(1)按照设计任务设计,采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。
交通灯用发光二级管模拟,观察交通灯的运行是否正常,如果不正常,排除故障直至正确为止。
(2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。
要求:必须用可编程器件实现电路功能。
可以是原理图,也可以是VHDL代码,也可以混合输入。
五、设计说明(1)第一模块:CLK时钟秒脉冲发生电路在红绿灯交通信号系统中,大多数情况是通过自动控制的方式指挥交通的。
因此为了避免意外事件的发生,电路必须给一个稳定的时钟(clock)才能让系统正常运作,故对1MHz的时钟信号进行1000000分频。
模块说明:系统输入信号:CLKi: 由外接信号发生器提供1MHz的时钟信号;系统输出信号: CLK:产生每秒一个脉冲的信号;(2)第二模块:模90倒计数器按照实验要求,交通灯循环一次为90秒,且显示倒数的计数值,故设计一模90倒计数器通过主程序运算即可显示各路计数值。
交通信号灯实验报告
数字逻辑与数字系统实验报告交通指示灯电路一、实验要求:二、实验设计:1.分析系统的逻辑功能,画出其框图交通灯控制系统的原理框图下图所示。
它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。
秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,可有实验箱自己给不用设计。
译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。
2.电路图的设计计数器选用集成电路74LS163进行设计。
74LS163是4位二进制同步计数器,它具有同步清零、同步置数的功能。
刚好交通灯的一个周期为16秒。
可用一个74163计数对电路定时。
由功能表分下面几种信号灯状态和车道运行状态:S0:东西方向车道的绿灯亮绿灯闪,车道通行,人行道禁止通行;南北方向车道的红灯亮,车道禁止通行,人行道通行 S1:东西方向车道的黄灯亮,车道缓行,人行道禁止通行;南北方向车道的红亮,车道禁止通行,人行道通行 S2:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的绿灯闪,车道通行,人行道禁止通行 S3:东西方向车道的红灯亮,车道禁止通行,人行道通行;南北方向车道的黄灯亮,车道缓行,人行道禁止通行注:绿灯闪和绿灯亮可以设置不同的状态,比如说:东西灯绿灯亮是用一个控制电路,闪的时候用另一个控制电路,绿灯亮时,控制绿灯闪的逻辑电路必须为0,使绿灯闪的电路用一个逻辑电路和脉冲和与门连成,而此时使绿灯亮的逻辑电路必须为0,然后两个逻辑电路用或门连到同一个绿信号灯上。
依题目的意思可以列出下面的真值表:(G为绿灯,R为红灯,Y为红灯,AB控制绿闪)QD QC QB QA G1 Y1 R1 A G2 Y2 R2 B0 0 0 0 1 0 0 0 0 0 1 00 0 0 1 1 0 0 0 0 0 1 00 0 1 0 1 0 0 0 0 0 1 00 0 1 1 1 0 0 0 0 0 1 00 1 0 0 0 0 0 1 0 0 1 00 1 0 1 0 0 0 1 0 0 1 00 1 1 0 0 1 0 0 0 0 1 00 1 1 1 0 1 0 0 0 0 1 01 0 0 0 0 0 1 0 1 0 0 01 0 0 1 0 0 1 0 1 0 0 01 0 1 0 0 0 1 0 1 0 0 01 0 1 1 0 0 1 0 1 0 0 01 1 0 0 0 0 1 0 0 0 0 11 1 0 1 0 0 1 0 0 0 0 11 1 1 0 0 0 1 0 0 1 0 01 1 1 1 0 0 1 0 0 1 0 0主电路图如下由于试验箱只有一个48MHZ的脉冲,所以用到一个分频电路如下图,得到需要的频率。
数字电路基础红绿灯实验报告
数字电路基础红绿灯实验报告数字逻辑电路红绿灯课程设计实验报告题目:红绿灯控制器指导教师:莫琳设计人员(学号):谭晨曦(1107200144)班级:电信类111班日期:2013年5月25日目录一.设计任务书二.设计框图及整机概述三.各单元电路的设计方案及原理说明四.调试过程及结果分析五.设计、安装及调试中的体会六.对本次课程设计的意见及建议七.附录(包括:整机逻辑电路图和元器件清单)一、设计任务书基本设计要求:EWB仿真实现,设计一个红绿灯控制器控制器设计应具有以下功能:(1)东西方向绿灯亮,南北方向红灯亮。
. (2)东西方向黄灯亮,南北方向红灯亮。
(3)东西方向红灯亮,南北方向绿灯亮。
(4 ) 东西方向红灯亮,南北方向黄灯亮。
要求有时间显示的(顺数、逆数皆可)时间自定(按学号:红灯时间(学号)=绿灯时间+黄灯时间(≥5)。
二.设计框图及整机概述设计框图:电源计数器数码显示脉冲控制红绿灯红绿灯控制器设计框图整机概述:红绿灯控制器由电源模块、脉冲模块、计数模块、控制模块、红绿灯模块以及数码显示模块共六部分组成。
电源模块为整机提供电源;脉冲模块提供给计数器作为时钟信号;计数模块为44进制计数器,分别输出到数码显示模块和控制模块作为数码显示信号和控制信号;数码显示模块接收来自计数器模块的信号,表明计数器工作状态;控制模块接收计数器模块输出的控制信号从而控制红绿灯的亮灭;红绿灯模块的亮灭情况见设计任务书。
三、各单元电路的设计方案及原理说明电源模块:外接,略。
脉冲模块:外接,略。
计数模块:计数模块内两个计数器(74LS160)CLK外接时钟脉冲信号,A、 B、C、D接地,LOAD接高电平。
低位计数器(左)的进位信号通过RCO输送至高位计数器(右),使高位计数器仅在有进位时工作。
因为我的学号为44,按照任务书要求,再通过将两个计数器的Qc分别接至与非门,以与非门的输出信号作为两个计数器的置零信号,至此,一个四十四进制计数器完成。
DSP交通灯实验
内蒙古工业大学信息工程学院实验报告课程名称: DSP技术及应用实验名称:交通灯实验实验类型:验证性□综合性□设计性■实验室名称:电子系实验室班级:电子10-1班学号:姓名:组别:同组人:成绩:实验日期: 2013年7月2日实验报告撰写要求一、实验前用预习报告纸撰写预习报告,预习报告包括以下内容1、实验目的2、实验用仪器设备、器材或软件环境3、实验原理、方案设计、程序框图、预编程序等4、实验过程中需要记录的实验数据表格二、实验过程中,要认真观察,仔细记录三、完成实验后用实验报告纸撰写实验报告,包括以下内容1、仪器设备型号及编号2、实验器材或软件环境3、实验步骤、程序调试方法4、实验数据处理及结果分析5、实验中存在的问题6、体会及思考题四、报告撰写时,要求格式规范、书写整齐预习报告1、实验目的(1)学习DSP控制外设的原理(2)掌握DSP编程的基本方法;(3)学习C54xx系列芯片的中断、I/O空间操作。
2、实验用仪器设备、器材或软件环境(1)微机一台;(2)CCS软件;(3)DES5402PP-U实验箱。
3.预习要求(1)参照教材学习DSP软件工程项目的基本构成和程序的编译、汇编、链接过程;(2)参照教材学习cmd文件的编制及其作用;(3)参照教材学习C语言编程的方法;(4)C语言编程所需要添加的库文件和头文件4.实验内容(1)CCS驱动程序的安装(2)在CCS下的仿真器驱动程序(3)程序的调试运行5.实验报告要求(1)绘制程序流程图(要求与代码相对应);(2)实验要求记录的数据以及其他关键信息;(3)程序调试中遇到的问题以及解决过程;(4)实验代码清单。
实验报告1、实验目的(1)学习DSP控制外设的原理(2)掌握DSP编程的基本方法;(3)学习C54xx系列芯片的中断、I/O空间操作。
二、实验用仪器设备、器材或软件环境(1)微机一台;(2)CCS软件;(3)DES5402PP-U实验箱。
三、实验步骤(1)CCS驱动程序的安装(2)在CCS下的仿真器驱动程序(3)程序的调试运行交通灯分为红黄绿三色, 东、南、西、北各一组, 用灯光信号实现对交通的控制: 绿灯信号表示通行, 黄灯表示警告, 红灯禁止通行, 灯光闪烁表示信号即将改变。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
内蒙古大学计算机学院(&软件学院)数字逻辑与Verilog HDL 实验报告交通灯设计代码及注释
module traffic(clk,rst,rst1,cout,qclk,st1,st2,counter);//说明输入射输出(没有中间变量)
input clk,rst,rst1,qclk; // 计数时钟,灯复位,扫描控制复位,扫描时钟
output [2:0] cout;//红黄绿灯的输出信号
output st1,st2;//扫描控制端
output[6:0]counter;//数码管显示输出
reg [2:0] cout;//用在always中被赋值变量用reg
reg en,st1,st2;//en控制时间延时计数参数,用在always中被赋值变量用reg
reg[6:0]counter; //用在always中被赋值变量用reg
reg [7:0] num;// 红黄绿灯延时控制参数,用在always中被赋值变量用reg
reg [3:0] num1;//数码管显示之前变量,用来保存个位和十位数字,用在always中被赋值变量用reg
parameter s0=3'b000,s1=3'b100,s2=3'b010,s3=3'b001;// 常量定义,使用更方便always @(posedge clk)//always过程快控制红黄绿灯的延时
begin
if(rst)//复位操作
begin cout<=s0;
en<=1'b1;
end
else begin
if(en)
begin
case(cout)//case语句控制灯的闪烁顺序
s0: begin//s0:红灯,时间20秒
num<=5'd20;
en<=0;
cout<=s1;
end
s1: begin//s1:黄灯,时间5秒
num<=5'd5;
en<=0;
cout<=s2;
end
s2: begin//s2:绿灯,时间20秒
num<=5'd20;
en<=0;
cout<=s3;
end
s3: begin//红灯,时间20秒
num<=5'd20;
en<=0;
cout<=s1;
end
default: begin//出现异常置为s0,时间1秒 num<=5'd1;
en<=0;
cout<=s0;
end
endcase
end
else
begin
num<=num-1;//时间延时控制
if(!num) en<=1'b1;
end
end
end
always @ (posedge qclk)//数码管扫描控制
begin
if(rst1)//复位操作
begin
st1=0;
st2=0;
end
else
begin
case({st2,st1})//数码管扫描信号,数码管显示控制st1,st2 2'b00: begin //得到个位数字并赋值给num1,2'b00个位显示 if(num<5'd10)
num1<=num;
if(num>5'd9&&num<5'd20)
num1<=num-10;
if(num>5'd19)
num1<=4'b0000;
{st2,st1}<=2'b01;// 2'b01转向十位显示
end
2'b01: begin//得到十位数字并赋值给num1,2'b01十位显示 if(num<5'd10)
num1<=4'b0000;
if(num>5'd9&&num<5'd20)
num1<=4'b0001;
if(num>5'd19)
num1<=4'b0010;
{st2,st1}<=2'b00;//转向个位显示
end
endcase
end
end
always @(posedge qclk)//数码管显示控制
begin
case(num1)
4'b0000: counter<=7'b0111111;//显示0,十进制数63
4'b0001: counter<=7'b0000110;//显示1,十进制数6
4'b0010: counter<=7'b1011011; //显示2,十进制数91
4'b0011: counter<=7'b1001111; //显示3,十进制数79
4'b0100: counter<=7'b1100110; //显示4,十进制数102
4'b0101: counter<=7'b1101101; //显示5,十进制数109
4'b0110: counter<=7'b1111101; //显示6,十进制数125
4'b0111: counter<=7'b0000111; //显示7,十进制数7
4'b1000: counter<=7'b1111111; //显示8,十进制数127
4'b1001: counter<=7'b1101111; //显示9,十进制数111 default: counter<=7'b0111111;//异常时显示0,十进制数63 endcase
end
endmodule
波形图演示情况:
Counter:显示为在数码管上的数字,所以按十位和个位交替显示,并按以下规律显示:
4'b0000: counter<=7'b0111111;//显示0,十进制数63
4'b0001: counter<=7'b0000110;//显示1,十进制数6
4'b0010: counter<=7'b1011011; //显示2,十进制数91
4'b0011: counter<=7'b1001111; //显示3,十进制数79
4'b0100: counter<=7'b1100110; //显示4,十进制数102
4'b0101: counter<=7'b1101101; //显示5,十进制数109
4'b0110: counter<=7'b1111101; //显示6,十进制数125
4'b0111: counter<=7'b0000111; //显示7,十进制数7
4'b1000: counter<=7'b1111111; //显示8,十进制数127
4'b1001: counter<=7'b1101111; //显示9,十进制数111 default: counter<=7'b0111111;//异常时显示0,十进制数63。