实验九计数器的设计
数电实验手册-实验九--计数
=================实验九计数、译码、显示综合实验一、实验目的:1.熟悉常用计数器芯片。
2.掌握计数、译码、显示器件的逻辑功能。
3.掌握中规模集成计数器的使用方法。
二、实验仪器与器材:仪器:逻辑实验箱。
器材:74LS390、74LS00、74LS48。
三、预习要求:1.查阅附录六中本次实验所使用的集成块的管脚图与真值表。
2.复习2—10进制译码器和显示电路同计数器配套使用的方法。
3.复习任意进制计数器的设计方法及应用。
四、实验原理:本次实验采用双十进制计数器74LS390,译码器74LS48等器件。
中规模集成计数器的使用方法中规模集成计数器中,二进制或十进制(8421码)加法计数器较为常用,如74LS390是比较典型的中规模异步计数器,逻辑图和管脚图见附录六。
由CP A输入信号,由Q A可产生2分频信号:CP B输入信号,由Q D输出可产生5分频信号;若在器件外部将Q A的CP B连接,可进行8421码十进制计数,若将Q D与CP A连接,即可输出5421码十进制数(对应8421码的输出顺序Q D、Q C、Q B、Q A、应改为Q A、Q D、Q C、Q B)。
图9—1 六进制图9—2八十四进制计数器利用反馈归零法,可得到N进制计数,连接方式如图9—1所示。
两块电路连接,可得到N<100的任意进制计数。
例如按图9—2所示电路连接起来所构成的就是84进制计数器。
注意:若使用上升沿触发的中规模计数器,图9—1和图9—2中不用门1。
若中规模计数器由低电平复位,图9—1和图9—2所示的异步复位即应改为与门3输出连接。
=================五、实验内容与步骤:1.计数、译码、显示:1)将74LS390中的一个计数器接成8421码,Q D—Q A输出接发光二极管,可按图9—3接线2)连续按动单次脉冲P1的按纽。
观察L1、L2、L3、L4灯的亮暗是否符合8421码。
3)测试数码显示器,判断其是共阴还是共阳,并确定出a~f七段所对应的管脚,然后将译码器74LS48和数码显示器连接。
显示计数器的设计实验报告
数字电路与逻辑设计实验报告
(一)实验名称:显示计数器的设计。
(二)实验目的:熟悉同步、异步计数器的工作原理及应用。
掌握任意进制计数器的设计方法。
(三)实验内容:掌握双二-五-十进制计数器74LS390的功能。
利用74LS390设计一个模18的计数器,使用555定时器产生计数脉冲信号,计数结果用数码管显示。
利用示波器观察CP、1QA、1QB、1QC、1QD、2QA的波形,并在报告中绘制。
(四)模拟电路上的运行结果:
(五)心得体会:
此次计数器的有关实验,不仅帮我巩固了计数器相关方面的知识,而且让我懂得和体会到了计数器功能测试的方法,并且也掌握了一些计数器的设计方法。
与此同时,还让我熟悉了同步、异步计数器的工作原理和应用。
虽然刚开始的时候还是遇到了一些难于解决的问题,但最后经过老师的讲解和自己大胆的尝试操作后,最终问题都迎刃而解了。
(六)思考题解答:。
本科数电实验教案
本科数电实验教案一、实验目的1. 理解并掌握数字电路的基本原理和实验技能。
2. 熟悉常用逻辑门电路及其功能。
3. 学会使用逻辑门电路进行简单的数字系统设计。
4. 培养动手能力和团队协作能力。
二、实验原理1. 逻辑门电路:与门、或门、非门、异或门等。
2. 逻辑函数及其表示方法:真值表、逻辑图、卡诺图等。
3. 数字电路的基本组成部分:触发器、计数器、译码器等。
4. 数字系统的设计与验证方法。
三、实验器材与仪器1. 数字电路实验箱。
2. 逻辑门电路模块。
3. 触发器、计数器、译码器等模块。
4. Multisim、Proteus等仿真软件。
四、实验内容与步骤1. 实验一:逻辑门电路的搭建与测试步骤:a. 根据真值表搭建与门、或门、非门、异或门电路。
b. 使用Multisim、Proteus等软件进行仿真,验证电路功能。
2. 实验二:数字电路的基本组成部分——触发器步骤:a. 搭建基本RS触发器、D触发器、JK触发器等。
b. 利用仿真软件验证触发器的工作原理。
c. 分析不同触发器之间的联系与区别。
3. 实验三:计数器的设计与仿真步骤:a. 搭建二进制计数器电路。
b. 利用仿真软件验证计数器的功能。
c. 分析计数器的工作原理,探讨计数器的应用场景。
4. 实验四:译码器的设计与仿真步骤:a. 搭建4-16译码器电路。
b. 利用仿真软件验证译码器的功能。
c. 分析译码器的工作原理,探讨译码器的应用场景。
5. 实验五:数字系统的设计与验证步骤:a. 结合所学知识,设计一个简单的数字系统(如计算器、频率发生器等)。
b. 搭建数字系统电路,利用仿真软件进行验证。
五、实验要求与评价1. 实验报告:要求对每个实验的原理、过程、结果进行详细描述,并对实验中遇到的问题进行分析和解答。
2. 实验操作:要求熟练操作实验设备,正确搭建电路,充分理解实验原理。
3. 实验态度:要求认真观察实验现象,积极参与讨论,主动请教老师和同学。
4. 实验成果:要求实验结果准确,能够对数字电路进行分析与设计。
实验九_步长可变的加减计数器
实验九_步长可变的加减计数器实验九步长可变的加减计数器⼀、实验⽬的1.掌握加减法计数器以及特殊功能计数器的的设计原理。
2⽤VHDL语⾔设计多功能计数器。
⼆、实验原理计数分同步计数器和异步计数器,如果按⼯作原理和使⽤情况来分那就更多了。
1.加减⼯作原理加减计数也称为可逆计数,就是根据计数控制信号的不同,在时钟脉冲的作⽤下,计数器可以进⾏加1计数操作或者减1计数操作。
2.变步长⼯作原理如步长为3的加法计数器,计数状态变化为0、3、6、9、12……,步长值由输⼊端控制。
在加法计数时,当计数值达到或超过99时,在计数器下⼀个时钟脉冲过后,计数器清零;在减法计数时,当计数值达到或⼩于0时,在计数器下⼀个时钟脉冲过后,计数器也清零。
三、实验内容1 设计的计数步长可在0~79之间变化2 通过仿真或观察波形图验证设计的正确性。
3 编译下载验证结果。
四、设计提⽰1.注意IF语句的嵌套。
2.注意加减计数状态的变化,计数值由9变0(加法)及由0变9(减法)各位的变化。
由于计数器为⼗进制计数器,还应考虑进位或借位后进⾏加6及减6校正。
五、实验报告要求1.写出多模加减计数器的VHDL源程序。
2.叙述多模加减计数器的⼯作原理。
SF = ‘1’加标志,SF=’0’减标志3.画出计数器⼯作波形图.LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CHANGABLE ISPORT(CLK,RESET,SF:IN STD_LOGIC;SIZE:IN STD_LOGIC_VECTOR(6 DOWNTO 0);DO:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ENTITY CHANGABLE;ARCHITECTURE C_SIZE OF CHANGABLE ISSIGNAL C_COUNT: STD_LOGIC_VECTOR(6 DOWNTO 0); BEGIN PROCESS(CLK,RESET,SF,SIZE)V ARIABLE TP:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGINIF(RESET = '1')THENC_COUNT<=(OTHERS=>'0');ELSIF(CLK'EVENT AND CLK = '1')THENIF(SF='1')THENTP:=C_COUNT+SIZE;IF(TP>79)THENC_COUNT<=(OTHERS=>'0');ELSEC_COUNT<=C_COUNT+SIZE;END IF;ELSEIF(TP < SIZE)THENC_COUNT<=(OTHERS=>'0');ELSEC_COUNT<=C_COUNT-SIZE;END IF;END IF;END IF;DO<=C_COUNT;END PROCESS;END ARCHITECTURE C_SIZE;。
74LS160计数器(分享借鉴)
实验九74LS160计数器一、实验目的:1. 了解计数器的基本原理。
2. 掌握集成计数器芯片74LS160工作原理及应用。
二、实验原理:1、74LS160 为可预置的十进制同步计数器,其管脚图如图所示:RCO 进位输出端ENP 计数控制端QA-QD 输出端ENT 计数控制端CLK 时钟输入端CLR 异步清零端(低电平有效)LOAD 同步并行置入端(低电平有效)2、74LS160功能表:三、实验内容:1、利用同步十进制计数器74LS160接成同步七进制计数器。
设计思路:列出七进制计数器的真值表:计数顺序电路状态等效十进制进位输出CQ3 Q2 Q1 Q00 0 0 0 0 0 01 0 0 0 1 1 02 0 0 1 0 2 03 0 0 1 1 3 04 0 1 0 0 4 05 0 1 0 1 5 06 0 1 1 0 6 1设计该电路要求在6时进位,即在输出为6时给输入端置0。
由真值表的逻辑函数式:Y’= (Q’0Q1Q2Q’3)’化简得:Y= (Q1Q2)’于是得设计电路:2、试用同步十进制计数器74LS160接成16进制计数器。
设计思路:74LS160是10进制计数器,要做成16进制计数器,先要做一个比16大的计时器。
这里用两片74LS160接成一个100进制计数器,再通过置0法实现16进制计数。
设计电路:四、实验分析:1、通过本实验,让我进一步了解74LS160计数器的基本原理。
基本掌握集成计数器芯片74LS160工作原理及应用。
2、设计电路时,注意思路清晰,结果简单易懂。
数电实验报告:实验4-计数器及应用161
广东海洋大学学生实验报告书(学生用表)实验名称实验名称课程名称课程名称课程号课程号学院学院((系) 专业专业班级班级学生姓名学生姓名学号学号实验地点实验地点实验日期实验日期实验4 计数器及其应用一、实验目的1、熟悉中规模集成计数器的逻辑功能及使用方法、熟悉中规模集成计数器的逻辑功能及使用方法2、掌握用74LS161构成计数器的方法构成计数器的方法3、熟悉中规模集成计数器应用、熟悉中规模集成计数器应用二、实验原理计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。
计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;步计数器和异步计数器;根据计数制的不同,根据计数制的不同,根据计数制的不同,可分为二进制计数器、可分为二进制计数器、可分为二进制计数器、十进制计数十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等。
本实验主要研究中规模十进制计数器74LS161的功能及应用。
的功能及应用。
1、中规模集成计数器74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图元,故又称为四位二进制同步计数器,其集成芯片管脚如图11所示:所示:管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端数控制端 A 、B 、C 、D ;数据输出端;数据输出端 QA 、QB 、QC 、QD ;进位输出端;进位输出端 RCO :使能端:使能端EP EP EP,,ET ET;预置端;预置端;预置端LD ;图1 74LS161 管脚图管脚图GDOU-B-11-112该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。
单片机计数器设计
单片机计数器的设计可以根据具体的需求进行灵活的选择。
以下是一个简单的单片机计数器的设计:
确定计数范围:根据需求确定计数器的范围,例如0-99或0-999。
选择计数器类型:根据计数范围选择合适的计数器类型,可以是二进制计数器、十进制计数器或BCD码计数器等。
确定计数方式:确定计数的方式,可以是递增计数、递减计数或双向计数等。
确定计数信号源:确定计数信号的来源,可以是外部信号源或内部时钟信号源。
连接计数器到外设:根据需求将计数器的输出连接到外设,例如LED显示器、数码管或继电器等。
编写计数器程序:使用适当的单片机编程语言编写计数器程序,包括计数器的初始化、计数操作和显示操作等。
测试和调试:在硬件连接完成后,对计数器进行测试和调试,确保计数器功能正常。
以上是一个简单的单片机计数器的设计流程,具体的实施可以根据具体的需求和单片机型号进行调整。
实验九 复杂可编程逻辑器件CPLD的使用
d 选择目标板元件பைடு நூலகம்
点击(图 9-1a)中的 Next 进入设置工程名称和保存位置(图 9-1b)对话框,在设置 好工程名称和保存路径后点击 Next 进入源文件加载对话框(图 9-1c) ,用户如果有需要加 载的源文件可以点击“add”进行加载,没有可直接点击“Next”进入目标器件选择对话 框(图 9-1d) ,在该对话框中用户应该选择目标器件,在“Family(器件系列) ”中选择 “MAX7000s”系列,在“Available device”列表中选择“EPM7128STC100-15” ,然后点
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY halfadder2 IS PORT ( a,b : IN bit; s,c : OUT bit); END halfadder2; ARCHITECTURE behave OF halfadder2 IS BEGIN s<=((not a)and b) or (a and not(b)); c<=a and b; END behave;
就像其他宏功能器件符号一样,可以被高层设计或被其他原理图设计文件调用。 3.利用 VHDL 创建一个半加器 ①新建 VHDL 文件:与原理图输入法类似,选择菜单【File】 【New…】 ,打开新建文 件对话框如图 9-2 所示。在该对话框中选中“VHDL File” ,点击“OK” 。 ②输入 VHDL 源代码:在 Quartus II 的文本编辑输入界面中输入以下代码
S i Ai Bi C i 1 S a C i 1 C i Ai Bi ( Ai Bi )C i 1 C a S a C i 1 C a C b
时序逻辑电路实验报告
时序逻辑电路实验报告一、实验目的1、掌握时序逻辑电路的设计过程。
2、了解时序电路器件的构成,用触发器设计一些简单的时序电路。
二、实验原理如果电路任一时刻的输出不仅取决于当时的输入信号,还取决于电路原来的状态,或者说还与以前的输入信号有关,具备这种逻辑功能特点的电路我们称之为时序逻辑电路。
根据时序电路的时钟信号是否相同,即触发器是否同时翻转,又可以把时序电路分为异步时序电路和同步时序电路。
分析一个时序电路,就是要找出给定时序电路的逻辑功能。
步骤如下:1、从给定逻辑图得出每个触发器的驱动方程;2、由驱动方程得到触发器的状态方程,从而得到时序电路的状态方程组;3、根据逻辑图写出时序电路的输出方程。
4、根据得到的方程式画出逻辑图。
5、检查电路是否能够自启动,进行逻辑修改,实现自启动。
而异步时序电路和同步时序电路的分析方法又不尽相同,在异步时序电路中,状态发生转换时,并不是所有触发器都翻转,只有有时钟信号的才计算触发器次态,没有时钟信号的触发器保持状态不变。
如果想使电路的逻辑功能一目了然,可以用状态转换真值表、状态转换图和时序图等三种方法来表示,他们之间可以相互转换。
为一个四位扭环计数器和其工作波形,并且该计数器可以自行启动。
其工作状态为0000→0001 →0011 →0111 →1111 →1110 →1100 →1000,然后再回到0000重新开始计数。
三、实验器件74175是四D型触发器,有公共的清零端和公共时钟信号,包含四组相同的D触发器,上升沿触发,清零端低电平有效。
四、实验内容1、用D触发器7474设计一个异步减法计数器,验证功能并画出逻辑图。
2、制作任意进制加法计数器。
(7进制计数器,同步)3、用JK触发器7476设计一个九进制同步加法计数器,搭建电路验证其功能,并画出逻辑图。
4、用JK触发器和门电路设计111序列信号检测器,有一个信号输入端口X,一个输出端口Y,当X输入序列111时,输出Y=1。
实验九新四位全加器的设计
课题:全加器实验【课时安排】2学时【实验要求】1.掌握Max+Plus II的使用流程2.学习并掌握全加器的设计【实验内容】设计四位加法器并进行仿真。
具体要求:一个带进位输入、输出的4位全加器端口:A、B为加数,CIN为进位输入,SUM为加和,COUT为进位输出1.编号1-15单号的同学设计四位超前进位加法器(参考教材P139)2.编号1-15双号的同学参考ALU运算模块的设计(教材P144),使用加法运算符设计3.编号16-29单号的同学用生成语句描述对4位全加法器程序。
(参考教材P89例3-26)4.编号16-29双号的同学用结构体的结构化语句描述二输入的4位全加法器程序(参考教材P89例3-35及P65例3-12)以上设计均需进行仿真,实验完成后提交电子文档(内含对应的VHDL程序及仿真结果的截图),提交实验报告,在实验报告中只需写清楚本次实验的设计原理和设计过程(如1号只写超前进位的实现过程,16号只写生成语句描述对4位全加法器程序的实现过程)。
【实验原理介绍】一、一位全加器1.设计原理加法器是最基本的算术运算单元。
加法器中最基本的单元是全加器。
全加器中有两个输入数据a和b,一个进位输入Ci,一个和输出sum和一个进位输出Cout2.一位全加器的VHDL设计实现根据全加器的工作原理,用VHDL描述源程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY full_adder ISPORT (a, b, ci: IN STD_LOGIC;sum, cout: OUT STD_LOGIC);END full_adder;ARCHITECTURE rtl OF full_adder ISBEGINsum <= a XOR b XOR ci;cout <= (a AND b) OR (a AND ci ) OR ( b AND ci);END rtl;二、4位串行进位加法器设计【实验重要步骤】略。
中国大学MOOC网课电子技术实验测验题及作业
中国大学MOOC网课电子技术实验测验题及作业实验一、常用电子仪器的使用常用电子仪器的使用单元测试1单选(10分)要测量频率为2KHz正弦波的有效值,选用哪种仪器( )。
正确答案:AA.示波器B.直流稳压电源C.数字万用表D.函数信号发生器2单选(10分)当用万用表测量某支路电流时,不需要进行的操作是( )。
A.按AUTO切换自动量程或手动量程。
B.选择DCI直流或ACI交流电流档。
C.把万用表串联到该支路中。
D.选择COM和0.1A或1.5A插孔正确答案:A3单选(10分)某电路需5mV的正弦波信号作为输入,可提供该信号的是()。
A.数字示波器B.函数信号发生器C.直流稳压电源D.数字万用表正确答案:B4单选(10分) 在用示波器测量信号大小时,哪个参数代表有效值()。
A.峰峰值(Vpp)B.均方根值(Vrms)C.平均值(Vavs)D.幅值(Vamp)正确答案:B5单选(10分)若被测信号是含有直流偏置的正弦信号,示波器应该选择()。
A.交流耦合方式B.接地C.直流耦合方式D.低频抑制耦合方式正确答案:C6判断(10分)函数信号发生器连接端子左侧的output按钮点亮时,才表示该通道信号允许输出。
√7判断(10分)为更好地用示波器观察小信号,需采用高频抑制和平均获取方式。
√8判断(10分)可以用万用表测量PN结是否正常来判断二极管和三极管的好坏。
√9判断(10分)DF1731直流稳压电源可以提供三路可调输出电源。
×10判断(10分)示波器的探头选“*10”时,表示将输入的信号放大10倍。
√实验一作业:总结用数字万用表判断二极管、三极管的方法实验二:单管交流放大电路的测试单元测试1单选(10分)单管放大电路中,当VCC 、RC及输入信号幅值选定后,要调节晶体管的静态工作点Q,通常调节哪个电阻的值来实现( )。
A.RB1B.RB2C.RED.RC正确答案:A2单选(10分)单管放大电路中,输出信号与输入信号的关系是( )。
实验9-LED数字显示电路实验
检测时钟脉冲,若振荡波形不稳定可在电源端 检测时钟脉冲, 对地跨接一个0.1F的电容。 的电容。 (VCC)对地跨接一个 的电容
2、十进制计数器 使用74LS390 ,接好后将时钟输入,4个输出 接好后将时钟输入, 使用 端可见 BCD 码的输出波形; 码的输出波形; 七段译码器与LED数码管按下图连接好电路,限 数码管按下图连接好电路, 3、七段译码器与 数码管按下图连接好电路 流电阻取75欧姆; 75欧姆 流电阻取75欧姆; 把十进制计数器的四个输出端分别与七段译码 器对应的四个输入端正确连接好,如果连接正确, 器对应的四个输入端正确连接好,如果连接正确, 数码管应显示 8 字。如果把时钟电路的 Ct 取成 10F 数码管应显示从 10 ,则数码管应显示从 0 到 9 十个变化的数 字。
ledled数码管共阳极或共阴极数码管共阳极或共阴极ledled我们把数字万用表的测量开关旋转至测量二极管的档位测量表笔的正极与数码管的公共端连接测量表笔的负极与其它任一端依次连接这样可以来判断led数码管是否为共阳极数码管
实验九
LED数码管显示电路实验 数码管显示电路实验
一、实验目的
数码管的检测与 检测与使用 1、掌握 LED 数码管的检测与使用 2、熟悉 BCD 计数器与七段译码器的关系 3、了解 RC 时钟振荡电路
时钟频率由R 决定, 时钟频率由 t Ct决定,即: 实验时R 实验时 t=100K , Ct=0.01F,用来检测十进制计数器的 位(Q3Q2Q1Q0) ,用来检测十进制计数器的4位 的输出波形 Ct=10F,用来检测 ,用来检测LED数码管显示的准确性; 数码管显示的准确性
三、实验步骤
本实验使用+ 电源 本实验使用+5V电源 1、调试时钟 按上页的原理图连接电路, 100K, 按上页的原理图连接电路,电阻 Rt 取100K, 反相器使用74HC14 管脚见下图, 74HC14, Ct 取0.01F ,反相器使用74HC14,管脚见下图, 其余4个非门的输入端接地 个非门的输入端接地; 其余 个非门的输入端接地;
河北科技大学数电实验报告
河 北 科 技 大 学实 验 报 告级 专业 班 学号 年 月 日 姓 名 同组人 指导教师 岳永哲 实验名称 实验二 基本门电路逻辑功能的测试 成 绩 实验类型 验证型 批阅教师一、实验目的(1)掌握常用门电路的逻辑功能,熟悉其外形及引脚排列图。
(2)熟悉三态门的逻辑功能及用途。
(3)掌握TTL 、CMOS 电路逻辑功能的测试方法。
二、实验仪器与元器件 (1)直流稳压电源 1台 (2)集成电路74LS00 四2输入与非门 1片 74LS86 四2输入异或门 1片 74S64 4-2-3-2输入与或非门 1片 74LS125 四总线缓冲门(TS ) 1片 CD4011 四2输入与非门 1片 三、实验内容及步骤1.常用集成门电路逻辑功能的测试在数字实验板上找到双列直插式集成芯片74LS00和74LS86。
按图进行连线。
测试各电路的逻辑功能,并将输出结果记入表中。
门电路测试结果2.测试与或非门74S64的逻辑功能在实验板上找到芯片74S64,实现Y AB CD =+的逻辑功能。
3.用与非门组成其他逻辑门电路 (1)用与非门组成与门电路按图接线,按表测试电路的逻辑功能。
根据测得的真值表,写出输出Y的逻辑表达式。
Y Y &真值表逻辑表达式:Y=AB(2)用与非门组成异或门电路按图接线,将测量结果记入表中,并写出输出Y 的逻辑表达式。
真值表逻辑表达式:B A Y ⊕=真值表4.三态门测试(1)三态门逻辑功能测试三态门选用 74LS125将测试结果记入表中。
(2)按图接线。
将测试结果记录表中。
真值表河北科技大学实验报告级专业班学号年月日姓名同组人指导教师实验名称实验三示波器的使用及门电路测试成绩实验类型综合型批阅教师一、实验目的(1)熟悉双踪示波器的面板结构,学习其使用方法。
(2)进一步学习数字实验板的使用方法。
(3)进一步掌握TTL与非门的特性和测试方法。
二、实验仪器与元器件(1)直流稳压电源1台(2)信号发生器1台(3)6502型示波器1台(4)集成电路74LS00 四2输入与非门1片三、实验内容及步骤1.信号发生器的使用信号发生器选择不同的按键,可以产生TTL/CMOS标准电平的数字信号,信号从“数字输出”端引出。
利用D触发器构成计数器-d触发器 计数器
数字电路实验设计:D触发器组成的4位异步二进制加法计数器一、选用芯片74LS74,管脚图如下:说明:74LS74是上升沿触发的双D触发器, D触发器的特性方程为二、设计方案:用触发器组成计数器。
触发器具有0 和1两种状态,因此用一个触发器就可以表示一位二进制数。
如果把n个触发器串起来,就可以表示n位二进制数。
对于十进制计数器,它的10 个数码要求有10 个状态,要用4位二进制数来构成。
下图是由D触发器组成的4位异步二进制加法计数器。
三、实验台:四、布线:1、将芯片(1)的引脚4、10连到一起,2、将芯片(2)的引脚4、10连到一起,3、将芯片(1)的引脚10和芯片(2)的引脚10连到一起,4、将芯片(1)的引脚10连到+5V;5、将芯片(1)的引脚1、13连到一起,6、将芯片(2)的引脚1、13连到一起,7、将芯片(1)的引脚13和芯片(2)的引脚13连到一起,8、将芯片(1)的引脚13连到+5V;9、将芯片(1)的引脚3接到时钟信号CP10、将芯片(1)的引脚2、6接到一起,再将引脚2接到引脚1111、将芯片(1)的引脚8、12接到一起,再将芯片(1)的引脚8接到芯片(2)的引脚312、将芯片(2)的引脚2、6接到一起,再将引脚6接到引脚1113、将芯片(1)的引脚5、9分别接到Q0、Q1,再将芯片(2)的引脚5、9分别接到Q2、Q314、分别将两芯片的14脚接电源+5V,分别将两芯片的7脚接地0V。
五、验证:接通电源on,默认输出原始状态0000每输入一个CP信号(单击CP),的状态就会相应的变化,变化规律为0000(原始状态)、1000、0100、1100、0010、1010、0110、1110、0001、1001、0101、1101、0011、1011、0111、1111。
计数器实验原理
计数器实验原理
计数器实验的原理是基于电子数字技术实现的。
它通过将输入的电信号进行计数,并根据给定的规则输出相应的计数结果。
计数器的工作原理通常利用触发器和逻辑门电路来实现。
触发器是一种能够存储和传递信息的电子器件。
计数器中使用的触发器被称为“触发型计数器”,它能够周期性地切换输出状态,从而实现计数功能。
计数器通常有一个输入端,称为时钟输入。
时钟输入接收外部的时钟信号,根据时钟信号的变化来切换触发器的状态。
当时钟信号的边沿(上升沿或下降沿)到来时,触发器的状态会发生变化。
计数器一般有几个输出端,每个输出端对应一个计数值。
当时钟信号到来时,计数器根据规定的计数规则改变输出的计数值。
不同类型的计数器有不同的计数规则,常见的有二进制计数器、十进制计数器和BCD码计数器等。
计数器可以实现多种功能,如正向计数、负向计数、加法计数、减法计数、循环计数等。
通过不同的触发器和逻辑门的组合,可以实现各种复杂的计数功能。
计数器广泛应用于各个领域,如计算机、通信、测量等。
它们能够对事件、信号、数据等进行计数和统计,提供了有效的计数和计量手段。
饮料机控制电路设计
实验九饮料机控制电路设计一、实验目的1.进一步掌握计数器的设计方法;2.掌握component、port map语句和package语句的使用方法;3.掌握层次化的电路描述方法。
二、设计描述及方法1.设计电路的接口描述clk:时钟输入信号;reset:复位输入信号;get_drink:取饮料输入控制信号;give_drink:给饮料输出控制信号;empty:饮料数量空指示信号;get_cola:取饮料cola的输入控制信号;give_cola:给饮料cola的输入控制信号;get_diet:取饮料diet的输入控制信号;give_diet:取饮料diet的输出控制信号;refill_bins:所有饮料数量均为空的输出指示信号;2.电路设计基本方法整个电路由单一饮料控制电路模块binctr.vhd和顶层电路模块refill.vhd组成;其中顶层电路模块中包含两种饮料控制电路,一种饮料为cola,另一种饮料为diet。
其中每一种饮料的最大数量为3,当两种饮料的剩余数量均为0时使refill_bins置1。
binctr.vhd 控制电路以递减计数器为基础,并将该模块作为一个component包含在package中。
三、程序代码1、饮料控制电路模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity binctr isport (clk,reset,get_drink: in std_logic;give_drink,empty: out std_logic); end binctr;architecture behave of binctr issignal k:integer :=3;signal k1:std_logic;signal k2:std_logic_vector (1 downto 0); beginprocess(clk,get_drink,reset)beginif clk'event and clk='1' thenif(get_drink='1' and k/=0) thenk<=k-1;k1<='1';elsek1<='0';end if;if(reset='1') thenk<=3;end if;end if;end process;k2<=conv_std_logic_vector(k,2);give_drink<=k1 ;empty<=not(k2(0)or k2(1));end behave;2、packagelibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;package binctr_pkg iscomponent binctrport (clk,reset,get_drink: in std_logic;give_drink,empty: out std_logic);end component;end binctr_pkg;3、顶层电路模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;use work.binctr_pkg.all;entity refill isport (clk,reset,get_cola,get_diet: in std_logic;give_cola,give_diet,refill_bins: out std_logic);end refill;architecture behave of refill issignal empty1,empty2:std_logic;beginBin_1:binctr port map (clk,reset,get_cola,give_cola,empty1); Bin_2:binctr port map (clk,reset,get_diet,give_diet,empty2); refill_bins<=empty1 and empty2;end behave;四、功能仿真五、RTL电路。
动作稳定性实验
动作稳定性实验一、实验目的研究和测定人的心理平衡能力及动作稳定能力。
二、实验原理本系统包括二个部分,EP704A凹槽型平衡试验仪和EP704B九洞平衡实验仪。
EP704A型凹槽平衡试验仪是为渐进地进行一种心理驱动控制方面的测量而设计的,本试验仪由可调节的凹槽钢板构成,形成一个渐渐变窄的狭缝,狭缝边上刻有厘米数,以确定一个试验科目的特性,底部是一玻璃镜子,以减少摩擦,配接计时计数器,便可进行实验和研究活动。
EP704九洞平衡实验仪,是为测量心理平衡现象而设计的,这个实验科目的任务是手握一针伸入尺寸渐次缩小的九个孔眼中,不得接触其边缘,配接计时计数器,便可进行实验和研究活动。
本实验配接EP001型计时计数器。
三、实验装置四、实验步骤凹槽平衡试验仪使用方法:1.将连接插头插入计时计数器,将试棒的插头插入仪器的输入插口,打开计时计数器的电源开关,计时计数器显示0000.00。
2.被试拿红色试棒,接触一下仪器的启动点,计时计数器开始计时,试棒在凹槽从宽口处向窄口处移动,试棒不能移开镜面,如试棒碰到凹槽的边,计时计数器就计出错一次,当试棒移出凹槽的窄口碰到终止点后,计时计数器停止工作,蜂鸣器鸣响,实验结束,按动计时计数器上的N/T按钮,获得实验的时间和出错次数。
九孔实验仪使用方法:1.将连接插头插入计时计数器,将试棒的插头插入仪器的输入插口,打开计时计数器的电源开关,计时计数器显示0000.00。
2.被试拿黑色试棒,碰一下除最小孔以外任何孔的孔底(一般最大的孔),计时计数器计数开始,从大孔到小孔依次往下做,每次试棒伸入时,必须碰到底部,及碰底指示灯点亮,如碰到孔壁,计时计数器计出错一次,同时蜂鸣器鸣响,碰壁指示灯点亮,当做到小孔时碰到孔底计数停止,结束指示灯点亮,同时蜂鸣器鸣响,实验结束,按动计时计数器上的N/T按钮,获得实验的时间和出错次数。
五、实验数据主试根据被测试者所选择的仪器类型记录统计其实验的时间和出错次数,要求每位测试者每种仪器测试三次。
年级拨计数器活动策划方案
年级拨计数器活动策划方案一、活动背景分析随着人们生活水平的提高和教育资源的丰富,人们对孩子的教育要求也越来越高。
为了培养儿童的计算能力和对数字的理解能力,以及增加学生对数学的兴趣,我们计划举办一场年级拨计数器活动。
该活动旨在通过亲身参与和锻炼,提高学生们的计算能力和数学思维能力,增强学生对数学的认识和兴趣。
二、活动目标1. 培养学生的计算能力:通过拨计数器的操作,锻炼学生的计算速度、准确性和稳定性。
2. 提高学生对数字的理解能力:通过亲身操作拨计数器,使学生更加深入地了解数字,掌握数字的大小、顺序及变化规律。
3. 增强学生对数学的认识和兴趣:通过有趣的活动形式,引导学生积极参与,培养学生对数学的兴趣和热爱。
4. 锻炼学生的团队合作和沟通能力:活动中设置团队竞赛环节,旨在培养学生的团队合作精神和沟通能力,提高学生的协作意识和团队合作能力。
三、活动内容安排1. 活动时间:计划在一个上午进行,具体时间为9:00-11:30。
2. 活动地点:校内操场或多功能厅。
3. 活动流程:08:30-09:00:学生自由活动,签到等准备工作。
09:00-09:10:开幕仪式:a. 主持人介绍活动背景和目标。
b. 现场观众致辞。
09:10-09:30:计数器操作示范:老师进行拨计数器操作的示范,引导学生掌握正确的操作技巧。
09:30-09:50:小组讨论:学生分组进行讨论和练习,熟悉拨计数器的操作步骤。
09:50-10:10:个人实践:学生个人操作拨计数器进行练习,老师进行指导和辅助。
10:10-10:30:休息时间。
10:30-10:50:团队竞赛:学生分组进行竞赛,以拨计数器进行数字计算和解题,团队间进行比拼。
10:50-11:30:颁奖及总结:a. 颁发个人竞赛、团队竞赛和全场最佳表现奖。
b. 老师进行总结发言,肯定学生的表现,并对今后学习的意义进行探讨。
四、活动筹备1. 招募志愿者:通过校园广播、海报等宣传渠道,招募学生和家长作为志愿者,协助活动的组织和执行。
课程设计任意计数器
课程设计任意计数器一、教学目标本课程的学习目标包括知识目标、技能目标和情感态度价值观目标。
知识目标要求学生掌握任意计数器的基本原理和操作方法,了解其在数学计算和科学研究中的应用。
技能目标要求学生能够熟练使用任意计数器进行计算,并能够解决实际问题。
情感态度价值观目标要求学生培养对数学和科学的兴趣和热情,提高他们的创新意识和解决问题的能力。
二、教学内容本课程的教学内容主要包括任意计数器的基本原理、操作方法和应用。
首先,学生将学习任意计数器的基本原理,包括计数器的组成、工作原理和计算方法。
然后,学生将学习如何使用任意计数器进行计算,包括基本运算、高级运算和编程。
最后,学生将学习任意计数器在数学计算和科学研究中的应用,包括解决实际问题、进行数据分析和发展科学研究。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法。
首先,将采用讲授法,向学生介绍任意计数器的基本原理和操作方法。
然后,将采用讨论法,让学生通过小组讨论和分享,深入理解和交流任意计数器的应用。
此外,还将采用案例分析法,通过分析实际案例,让学生学会如何使用任意计数器解决实际问题。
最后,将采用实验法,让学生亲自动手操作任意计数器,进行实验和探究,加深对任意计数器的理解和掌握。
四、教学资源为了支持教学内容和教学方法的实施,将选择和准备适当的教学资源。
教材将是主要的教学资源,将选用一本适合学生年级和知识深度的任意计数器教材。
参考书将提供更多的学习资料和练习题,帮助学生深入学习和巩固知识。
多媒体资料将包括教学PPT、视频和动画,通过图文并茂的形式,生动展示任意计数器的基本原理和操作方法。
实验设备将是实际的任意计数器,学生可以通过动手操作实验设备,进行实验和探究,提高实践能力。
五、教学评估本课程的教学评估方式包括平时表现、作业和考试等。
平时表现将根据学生在课堂上的参与程度、提问和回答问题的表现进行评估。
作业将包括练习题和项目任务,要求学生在规定时间内完成,并进行批改和反馈。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验九 计数器的设计实验目的熟悉J-K 触发器的逻辑功能,掌握J-K 触发器构成异步计数器和同步计数器。
一、 实验仪器及器件1、 试验箱,万用表,示波器2、 74LS73, 74LS00,74LS08,74LS20二、 实验原理(1)74LS194——移位寄存器芯片74LS194是一种移位寄存器,具有左移、右移,并行送数、保持和清除五项功能。
移位寄存器中的数据可以在移位脉冲作用下依次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出。
(2)双74LS73 是一种双J-K 生变化是,发生在时钟脉冲的下降沿。
并且只有在下降沿的转换瞬间才对输入做出响应。
本实验采用集成J-K 触发器74LS73构成时序电路。
—表达式:Q n+1=J(Q n)'+K'Q n1、K触发器设计16进制异步计数器,用逻辑分析仪分析观察CP和各输出波形步骤一:列出真值表:步骤二:选择门电路:我认为可以用四个74LS93,来实现这一功能,所有的J,K都接入高电平,此时表达式变从而四级JK触发器就会有四级分频。
同时由于要求异步计数器所以,把上一级的输出接入下一级的输入,实现异步计数器,相应的由于分频的原因,Q0,Q1,Q2,Q3的频率逐次减少为上一级一半,从而实现十六进制。
步骤三:列出理论的波形图片:步骤四:用proteus仿真步骤五:用逻辑分析仪观察波形1、用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出的波形步骤一:列出真值表:步骤二:选择门电路:我认为可以用四个74LS93,来实现这一功能,第一级的J,K都接入高电平。
同时由于要求同步计数器所以,所以一定要clk同时接入四个计数器的输入端,然后仿照异步计数器的思想,我们还是需要把第二级的频率做二分,这个很简单,我们可以把Q0作为输入接入K1,J1这样当时钟下降沿来到,并且Q0是高电平时第二级是翻转状态于是第二级输出高电平,实现了二分频率;对于第三级我们需要它四分频率,也就是Q0Q1要一起控制第三级,也就是接入一个与门,让Q0Q1都是1时才改变第三级的输出,同理对于第四级需要Q0Q1Q2一起控制,就还是要两输入与门一个输入是Q3一个输入是Q0Q1即可。
步骤三:列出理论的波形图片:步骤四:用proteus 仿真步骤五:用逻辑分析仪分析2、 用JK 触发器和门电路设计一个具有置零,保持,左移,右移,并行送数功能的二进制四位计数器模仿74LS194功能。
步骤一:列出真值表:步骤二:写出逻辑表达式如下:步骤三:化简逻辑表达式 又由JK 触发器的特性方程:表达式:Q n+1=J (Q n )'+K 'Q n ;所以可得:J 3=K 3=Q A J2=K2=Q B J1=K 1=Qc J0=K 0=Q D— — — — — —步骤四:选用门电路输入为ABCD,输出为Q A Q B Q C Q D,s1s0控制功能,对于开关的关闭与打开分别接入0电平和高电平,输出连接示波器以及LED;核心部分是四组俩个输入与门,每一组都是负责控制一个JK触发器工作状态,相当于四选一的选择开关。
下面接入一个四输入与非门,对于每个触发器,A清除状态就是CLR接入低电平,,所以就是串联接入一个开关即可;B 并行送数就是输出的数据与输入的开关所表示的数据一致,开关变化输出LED也变化,所以需要在J,K之间接入一个反相器,使得JK反向,输入是0则J为0;K为1;于是输出0 。
输入是1则J为1,K为0,输出为1;实现了同步控制。
C而保持状态则是使得此状态时,4个两数入与门中只有一个工作并且,那个与门的结果由这一个JK触发器上次的输出来决定,从而上次输出什么这次还是输出什么,保持不变;D左移,首先需要有一个补充的数据输入开关,连接到最右边的JK触发器,之后每当时钟下降沿到达之后左边的JK触发器数据都会等于右边JK触发器的数据,也就是右边的输出接入4个两输入与门对应的左移控制门中,之后最右边的左移输入控制门接入一个输入数据开关。
E右移,首先需要有一个补充的数据输入开关,连接到最左边的JK触发器,之后每当时钟下降沿到达之后右边的JK触发器数据都会等于左边JK触发器的数据,也就是左边的输出接入4个两输入与门对应的右移控制门中,之后最左边的右移输入控制门接入一个输入数据开关。
步骤五:仿真电路图如下4、用JK触发器和门电路设计一个特殊的12进制同步计数器,其十进制的状态转换图为:步骤一:列出真值表如下所示步骤二:按照真值表来画出卡诺图:步骤三:经过整理后最终的逻辑表达式为步骤四:选用合适的门电路,实验箱中没有或门所以我用三个与非门代替一个或门,第一个JK触发器JK连接高电平;第二个JK触发器JK连接Q0,之后的或门比如Q1Q0+Q3Q2就是Q1Q0接一个与非门;Q3Q2接一个与非门;之后前面两个与非门的输出接入一个新的与非门就可以了;步骤五:在仿真软件下仿真如下:步骤六:得到仿真结果波形图如下:三、实验内容内容一:用JK触发器设计16进制异步计数器,用逻辑分析仪分析观察CP和各输出波形内容二:用JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出的波形内容三:用JK触发器和门电路设计一个具有置零,保持,左移,右移,并行送数功能的二进制四位计数器模仿74LS194功能。
4、用JK触发器和门电路设计一个特殊的12进制同步计数器,其十进制的状态转换图为:五.实验分析及总结1、K触发器设计16进制异步计数器,用逻辑分析仪分析观察CP和各输出波形步骤一:列出真值表:步骤二:选择门电路:我认为可以用四个74LS93,来实现这一功能,所有的J,K都接入高电平,此时表达式变从而四级JK触发器就会有四级分频。
同时由于要求异步计数器所以,把上一级的输出接入下一级的输入,实现异步计数器,相应的由于分频的原因,Q0,Q1,Q2,Q3的频率逐次减少为上一级一半,从而实现十六进制。
步骤三:列出理论的波形图片:步骤四:用proteus仿真步骤五:用逻辑分析仪观察波形步骤六:实验结果分析:在实际进行实验前需要把原理弄懂还有注意事项都要考虑到位,对于实验的现象要能够解释,对于实验过程中一些奇怪的结果需要自己找出问题并解决,比如某个输出和真值表不同,这时应该停下来观察分析自己的电路,找出错误并改正过来。
观察输出波形可见,这是一个明显的十六进制的输出波形,而且并没有明显的竞争冒险现象,所以我觉得实验很成功,与预计的试压结果基本一致,2、JK触发器设计一个16进制同步计数器,用逻辑分析仪观察CP和各输出的波形步骤一:列出真值表:步骤二:选择门电路:我认为可以用四个74LS93,来实现这一功能,第一级的J,K都接入高电平。
同时由于要求同步计数器所以,所以一定要clk同时接入四个计数器的输入端,然后仿照异步计数器的思想,我们还是需要把第二级的频率做二分,这个很简单,我们可以把Q0作为输入接入K1,J1这样当时钟下降沿来到,并且Q0是高电平时第二级是翻转状态于是第二级输出高电平,实现了二分频率;对于第三级我们需要它四分频率,也就是Q0Q1要一起控制第三级,也就是接入一个与门,让Q0Q1都是1时才改变第三级的输出,同理对于第四级需要Q0Q1Q2一起控制,就还是要两输入与门一个输入是Q3一个输入是Q0Q1即可。
步骤三:列出理论的波形图片:步骤四:用proteus仿真步骤五:用逻辑分析仪分析步骤六、实验结果分析:观察输出波形可见,这是一个明显的十六进制的输出波形,而且并没有明显的竞争冒险现象,所以我觉得实验很成功,与预计的试压结果基本一致,示波器的调节关乎到波形是否稳定和是否好观测实验结果,探头为10:1,实验中应先调至稳定可观测波形,可以调节触发源、周期、分度值等。
面对一些十分复杂的电路时要冷静分析,保持头脑清醒,画真值表、卡诺图等来进行分析运算。
保持耐心的心态和细致、严谨的工作态度。
3、用JK触发器和门电路设计一个具有置零,保持,左移,右移,并行送数功能的二进制四位计数器模仿74LS194功能。
步骤一:列出真值表:步骤二:写出逻辑表达式如下:步骤三:化简逻辑表达式 又由JK 触发器的特性方程:表达式:Q n+1=J (Q n )'+K 'Q n ;所以可得:J 3=K 3=Q A J2=K2=Q B J1=K 1=Qc J0=K 0=Q D步骤四:选用门电路输入为ABCD ,输出为Q A Q B Q C Q D ,s1s0控制功能,对于开关的关闭与打开分别接入0电平和高电平,输出连接示波器以及LED;核心部分是四组俩个输入与门,每一组都是负责控制一个JK触发器工作状态,相当于四选一的选择开关。
下面接入一个四输入与非门,对于每个触发器,A 清除状态就是CLR接入低电平,,所以就是串联接入一个开关即可;B 并行送数就是输出的数据与输入的开关所表示的数据一致,开关变化输出LED也变化,所以需要在J,K之间接入一个反相器,使得JK 反向,输入是0则J 为0;K 为1;于是输出0 。
输入是1则J 为1,K 为0,输出为1;实现了同步控制。
C 而保持状态则是使得此状态时,4个两数入与门中只有一个工作并且,那个与门的结果由这一个JK 触发器上次的输出来决定,从而上次输出什么这次还是输出什么,保持不变;—— — — — —D左移,首先需要有一个补充的数据输入开关,连接到最右边的JK触发器,之后每当时钟下降沿到达之后左边的JK触发器数据都会等于右边JK触发器的数据,也就是右边的输出接入4个两输入与门对应的左移控制门中,之后最右边的左移输入控制门接入一个输入数据开关。
E右移,首先需要有一个补充的数据输入开关,连接到最左边的JK触发器,之后每当时钟下降沿到达之后右边的JK触发器数据都会等于左边JK触发器的数据,也就是左边的输出接入4个两输入与门对应的右移控制门中,之后最左边的右移输入控制门接入一个输入数据开关。
步骤五:仿真电路图如下步骤六、实验结果模拟开关输入01111、s1=s0=1时并行送数,输入数据为0110输出如下所示分析与讨论:试验中我观察LED的闪烁情况,结果很好,观察波形结果也很好,基本成功了2、s1=0,s0=1时,右移分析与讨论:此次实验,加深了对组合电路中竞争与冒险现象的理解,而且,学会了如何简单地消除这种现象,如用接入滤波电路、引入选通脉冲、修改逻辑设计等方法。
观察第一行的黄色波谷,对比第二三四行可见其不断向右移动,所以成功了。
3、s1=1,s0=0时,左移分析与讨论在组合逻辑电路中,分析了组合逻辑电路竞争冒险的产生,及其判断和消除的方法,其产生原因包括:门电路开关电平的时间差和门电路延迟时间。
竞争冒险可以通过代数法、卡诺图法、仿真法和实验法进行判断,采用引入选通脉冲、引入封锁脉冲、增加冗余项、接入滤波电容等手段以消除竞争冒险。