二进制振幅键控(ASK)调制器与解调器设计

合集下载

ASK调制与解调的仿真

ASK调制与解调的仿真

实验四ASK 调制与解调的仿真一.实验目的1.掌握幅度键控的原理,经过对仿真的过程和结果剖析,加深对其理解。

2.运用 MATLAB对 ASK的调制与解调过程进行仿真。

二.实验内容运用 MATLAB编程实现ASK调制解调过程,并且输出其调制后的波形,画出频谱、功率谱密度图,并比较各样调制的误码率状况,议论其调制成效。

三.软件纲要设计说明,功能模块及流程和工作原理ASK信号调制器的设计:产生二进制振幅键控信号的方法主要有两种:法 1:采纳相乘电路,用基带信号 A t 和载波 cos t 相乘就获得已调信号输出;法 2:采纳开关电路,这里的开关由输入基带信号 A t 控制,用这类方法能够获得相同的输出波形。

ASK 信号解调器的设计:ASK信号的解调方法有两种,即包络检波法和相关解调法,前者属于非相关解调。

此中解调的原理框图以下图。

依据 ASK调制的表达式可知:S2 ASK (t ) a n A cos c t综合式令A=1,则ASK信号的一般时域表达式为:S 2ASK (t ) a n g(t nT s ) cos c tnS(t ) cos c t式中, T s 为码元间隔, g(t ) 为连续时间 Ts 2,Ts 2 内随意波形形状的脉冲(剖析时一般 设为归一化矩形脉冲) ,而 S(t) 就是代表二进制信息的随机单极性脉冲序列。

依据 ASK 相关解调的表达式:z(t ) y(t) ?cos( c t) m(t ) ? cos 2 ( c t ) m(t) ? 1 [1 cos(2 c t)] 1 m(t) 1 m(t ) cos(2c t) 2 2 2此中第 1 项是基带信号,第 2 项是频次为 2 c 的高频信号,利用低通滤波器可检出基带信 号,再经过抽样裁决,可恢复出原始信号序列。

四. 软件详尽设计、重点技术与难点、测试数据用 MATLAB 编程以下:t=0::8; % 定义时间采样值y=sin(2*pi*t); % 定义未调信号的表达式x=[ones(1,100),zeros(1,100),ones(1,100),ones(1,100),zeros(1,100),zeros(1,100) ,ones(1,100),zeros(1,101)]; % 定义载波 X 的取值z=x.*y; % 定义已调信号的表达式subplot(3,1,1) % 画第一个图plot(t,x) % 画出载波图axis([0,8,,]) % 定义范围xlabel(' 时间 ') % 定义坐标轴的名字title(' 未调信号 '); % 定义图的名字subplot(3,1,2); % 画第二个图plot(t,y) % 画出调制信号图axis([0,8,,]) % 定义范围xlabel(' 时间 ') % 定义坐标轴的名字title('载波 ')%定义图的名字subplot(3,1,3)%画出第三个图plot(t,z)%画出解调后的图axis([0,8,,]) %定义范围xlabel('时间 ')%定义坐标轴的名字title('已调信号 ');%定义图的名字仿真结果:软件中主要包括有二进制信号的产生,调制信号的产生,调制信号的解调解画图部分。

振幅键控(ASK)调制与解调实验报告

振幅键控(ASK)调制与解调实验报告
FSK调制模块:
TH7:FSK-NRZ经过反相后信号观测点。
FSK-OUT:FSK调制信号输出点。
FSK解调模块:
TH7: FSK调制信号经整形1后的波形观测点。
TH8:FSK调制信号经单稳(U10A)的信号观测点。
TH9:FSK调制信号经单稳(U10B)的信号观测点
TH10:FSK调制信号经两路单稳后相加信号观测点。
3、以信号输入点“ASK-NRZ”的信号为内触发源,用示波器观察点“ASK-OUT”输出,即为PN码经过ASK调制后的波形。
4、通过信号源模块上的拨码开关s4控制产生PN码的频率,改变送入的基带信号,重复上述实验;也可以改变载波频率来试验。
(二)ASK解调实验
1、接着上面ASK调制试验继续Leabharlann 线。源端口四、基本原理
调制信号为二进制序列时的数字频带调制称为二进制数字调制。由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2ASK)、二进制频移键控(2FSK)、二进制移相键控(2PSK)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。
1、ASK调制原理。
首先,因2ASK信号的特征是对载波的“通—断键控”用一个模拟开关作为调制载波的输出通/断控制们,有二进制序列S(t)控制门的通断,S(t)=1时开关导通;S(t)=0时开关截至,这种调制方式称为通-断键控法。其次,2ASK信号视为S(t)与载波的乘积,故用模拟乘法器实现2ASK调制也是很容易想到的另一种方式。
模块3:FSK-NRZ
S4拨为“1100”,PN是8k伪随机码
信号源:128k同步正弦波
模块3:载波A
提供FSK调制A路载波,幅度为4V

ASK

ASK
8.9二进制振幅键控(ASK) 二进制振幅键控( 二进制振幅键控 ) 调制器与解调器设计
ASK调制方法
数字信号对载波振幅调制称为振幅键控 即 ASK(Amplitude-Shift Keying)。 ASK有两种实现方法: ASK 1.乘法器实现法 2.键控法
1.乘法器实现法
乘法器实现法的输入是随机信息序列,经过 基带信号形成器,产生波形序列,乘法器用 来进行频谱搬移,相乘后的信号通过带通滤 波器滤除高频谐波和低频干扰。 带通滤波器的输出是振幅键控信号。 乘法器常采用环形调制器。
与同步解调相似,为使误码率最小,判决电平应 和 的交点的横坐标值,如 图中 , 称为最佳门限,经分析,得到 当信噪比 (即大信噪比)时,
ASK调制VHDL程序及仿真
ASK调制方框图
注:图中没有包含模拟电路部分,输出信号为数字信号。
ASK调制电路符号
ASK调制VHDL程序
--文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号

通信原理实验振幅键控(ASK)调制与解调实验

通信原理实验振幅键控(ASK)调制与解调实验

《通信原理》实验报告实验七: 振幅键控(ASK)调制与解调实验实验九:移相键控(PSK/DPSK)调制与解调实验系别:信息科学与技术系专业班级:电信0902学生姓名:同组学生:成绩:指导教师:惠龙飞(实验时间:2011年12月1日——2011年12月1日)华中科技大学武昌分校ﻬ实验七振幅键控(ASK)调制与解调实验一、实验目的1、掌握用键控法产生ASK信号的方法。

2、掌握ASK非相干解调的原理。

一、实验器材1、 信号源模块一块 2、 ③号模块一块 3、 ④号模块一块 4、 ⑦号模块一块 5、 20M双踪示波器一台 6、 连接线若干二、基本原理调制信号为二进制序列时的数字频带调制称为二进制数字调制。

由于被调载波有幅度、频率、相位三个独立的可控参量,当用二进制信号分别调制这三种参量时,就形成了二进制振幅键控(2AS K)、二进制移频键控(2FSK)、二进制移相键控(2PS K)三种最基本的数字频带调制信号,而每种调制信号的受控参量只有两种离散变换状态。

1、 2ASK 调制原理。

在振幅键控中载波幅度是随着基带信号的变化而变化的。

使载波在二进制基带信号1或0的控制下通或断,即用载波幅度的有或无来代表信号中的“1”或“0”,这样就可以得到2AS K信号,这种二进制振幅键控方式称为通—断键控(O OK )。

2ASK 信号典型的时域波形如图9-1所示,其时域数学表达式为:2()cos ASK n c S t a A t ω=⋅(9-1)式中,A 为未调载波幅度,c ω为载波角频率,n a 为符合下列关系的二进制序列的第n 个码元:⎩⎨⎧=PP a n -出现概率为出现概率为110 ﻩﻩ (9-2)综合式9-1和式9-2,令A =1,则2ASK 信号的一般时域表达式为:t nT t g a t S c n s n ASK ωcos )()(2⎥⎦⎤⎢⎣⎡-=∑t t S c ωcos )(= ﻩ(9-3)式中,T s 为码元间隔,()g t 为持续时间 [-T s /2,T s /2] 内任意波形形状的脉冲(分析时一般设为归一化矩形脉冲),而()S t 就是代表二进制信息的随机单极性脉冲序列。

2ASK和2PSK调制与解调实验

2ASK和2PSK调制与解调实验

2ASK和2PSK调制与解调实验实验二2ASK和2PSK调制与解调实验(一)实验目的1、掌握振幅键控(ASK)调制与解调的原理,并会用仿真软件绘制仿真的原理图,得出正确的波形图。

2、掌握相移键控(PSK)调制与解调的原理,并会用仿真软件绘制仿真的原理图,得出正确的波形图。

(二)实验设备计算机、SystemView软件(三)实验内容1、振幅键控(ASK)调制与解调:掌握振幅键控(ASK)调制与解调的原理,并用仿真软件绘制仿真的原理图,得出正确的波形图。

2、相移键控(PSK)调制与解调:掌握相移键控(PSK)调制与解调的原理,并用仿真软件绘制仿真的原理图,得出正确的波形图。

(四)实验原理1、2ASK调制部分:二进制幅度键控的调制器可用一个相乘器来实现。

对于2ASK 信号,相乘器则可以用一个开关电路来代替。

调制信号为1时,开关电路导通,为0时切断。

2ASK信号表达式:S(t)=a(n)Acos(ωct)式中:A-载波幅度,ωc -载波频率,a(n)-二进制数字信号2、2PSK二进制相移键控(2PSK )就是根据数字基带信号的两个电平,使载波相位在连个不同的数值之间不通的数值之间切换的一种相位调制方法。

通常,两个载波相位相差π个弧度。

PSK 信号可以写成如下形式:Spsk (t )=a(n)Acos (ωct )1.调制部分:在2PSK 中,通常用相位0°或180°来分别表示1或-1.这里用调相法来生成2PSK :将数字信号与载波直接相乘。

这也是DSB 信号产生的方法。

S2PSK (t )=cos(ω0t+φ),φ=0或πS2PSK (t )= ACOS(ω0) a(n)=1-ACOS(ω0) a(n)= -12.解调部分2PSK 必须采用相干解调,同步载波是个关键问题。

相干接收2PSK 系统组成如图所示:对2PSK 信号相干接收的前提是首先进行载波提取,可采用平方环或科斯塔斯环来实现。

ASK

ASK

电子信息与通信工程《专业训练课程设计》报告目录一、设计任务要求 (1)二、设计原理 (1)三、仿真程序/模型分析 (2)四、仿真结果分析 (3)五、困难问题及解决措施 (4)六、总结与体会 (4)七、致谢 (4)八、参考文献 (4)一、 任务设计要求利用MATLAB 进行仿真设计实现 ASK 信号产生的设计 ASK 信号解调电路的设计对仿真得到的ASK 信号及解调信号进行分析二、 设计原理2.1ASK 信号调制器的设计产生二进制振幅键控信号的方法主要有两种,第一种方法是采用相乘电路,用基带信号()t A 和载波()t ωcos 相乘就得到已调信号输出,第二种方法是采用开关电路,这里的开关由输入基带信号()t A 控制,用这种方法可以得到同样的输出波形。

下面是这两种方法的原理框图。

开关电路法相乘器法图1 调制器原理框图两者的区别:在相乘器中输入基带信号A (t )可以是非矩形脉冲,所以已调信号的包络也是非矩形的,而在开关法中,为了控制开关基带信号必须是矩形脉冲。

2.2ASK 信号解调器的设计ASK 信号的解调方法有两种,即包络检波法和相干解调法,前者属于非相干解调。

两者的区别:非相干解调中整流器和低通滤波器构成一个包络检波器,而相干解调中相乘电路需要有相干载波coswt ,它必须从接收信号中提取并且和接受信号的载波同频同相,所以它要比包络检波法复杂的多,下面对比其误码率;相干解调的误码率公式为er rPe 4/1-=π,而非相干解调的误码率公式为Pe=21er 4/-当大信噪比时,ASK 信号相干解调法的误码率总是低于包络检波法的误码率,但两者相差不大。

因此选择相干解调。

原理框图如下:图2 相干解调三、仿真程序/模型分析用MATLAB编程如下(%后面的是说明此条编程语句的作用):t=0:0.01:8; % 定义时间采样值y=sin(2*pi*t); % 定义未调信号的表达式x=[ones(1,100),zeros(1,100),ones(1,100),ones(1,100),zeros(1,100),zeros(1,100),ones (1,100),zeros(1,101)];%定义载波X的取值z=x.*y; % 定义已调信号的表达式subplot(3,1,1) % 画第一个图plot(t,x) % 画出载波图axis([0,8,-0.1,1.1]) % 定义范围xlabel('时间') % 定义坐标轴的名字title('载波'); % 定义图的名字subplot(3,1,2); % 画第二个图plot(t,y) % 画出调制信号图axis([0,8,-1.1,1.1]) % 定义范围xlabel('时间') % 定义坐标轴的名字title('未调信号') % 定义图的名字subplot(3,1,3) % 画出第三个图plot(t,z) % 画出解调后的图axis([0,8,-1.1,1.1]) % 定义范围xlabel('时间') % 定义坐标轴的名字title('已调信号'); % 定义图的名字四、仿真结果分析图3 仿真结果根据ASK 调制的表达式可知:2()cos ASK n c S t a A tω=⋅式中,A 为未调载波幅度,c ω为载波角频率,n a 为符合下列关系的二进制序列的第n 个码元:⎩⎨⎧=PPa n -出现概率为出现概率为11综合式 令A =1,则ASK 信号的一般时域表达式为:tnT t g a t S c n s n ASK ωcos )()(2⎥⎦⎤⎢⎣⎡-=∑tt S c ωcos )(=式中,s T 为码元间隔,()g t 为持续时间[]2,2Ts Ts -内任意波形形状的脉冲(分析时一般设为归一化矩形脉冲),而()S t 就是代表二进制信息的随机单极性脉冲序列。

二进制振幅键控(ASK)调制器与解调器VHDL设计

二进制振幅键控(ASK)调制器与解调器VHDL设计

--文件名:ASK.vhd --功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 --最后修改日期:2004.3.16 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK is port(clk :in std_logic; --系统时钟 start:in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end ASK; architecture behav of ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号
也就是取样判决器的输入信号。



(2)发“0”码时情况 发“0”码时,ASK信号输入为0,噪声仍然存在, 经过低通滤波器后,输出信号为x(t),
x(t)也是取样判决器的输入信号。 综合上面的分析,可得




下面讨论判决问题。 若没有噪声,上式简化为

此时判决电平取0~A的中间值A/2,大于A/2判为 “1”码,小于A/2判为“0”码。在无噪声时,判 决一定是正确的。
ASK调制VHDL程序仿真图及注释
注:a.基带码长等于载波f的6个周期。 b. 输出的调制信号y滞后于输入基带信号x一个clk时间。
ASK解调VHDL程序及仿真
ASK解调VHDL程序

--文件名:ASK2 --功能:基于VHDL硬件描述语言,对ASK调制信号进行解调 --最后修改日期:2004.2.12 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ASK2 is port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 end ASK2; architecture behav of ASK2 is signal q:integer range 0 to 11; --计数器

EDA课程设计 ASK调制与解调

EDA课程设计  ASK调制与解调

二进制振幅键控(ASK)的调制与解调引言:数字基带信号的功率谱从零频开始而且集中在低频段,因此只适合在低通型信道中传输。

但常见的实际信道是带通型的,因此,必须用数字基带信号对载波进行调制,使基带信号的功率谱搬移到较高的载波频率上,才可以在信道中进行传输。

在现代数字通信系统中,频带传输系统的应用最为突出。

将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号。

传输这个信号的系统就称为频带传输系统。

在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。

数字信号对载波信号的振幅调制称为振幅键控,即ASK调制。

当选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制时,使载波时断时续地输出。

产生的信号就是二进制振幅键控信号(2ASK)。

本次实验以二进制ASK为例,以EDA为平台,采用VHDL语言进行ASK信号的调制与解调设计。

一.设计目的加强学生对通信专业知识的理解和掌握,锻炼学生的动手实践能力、运用MuxplusⅡ软件,分析并解决通信系统中实际问题的能力。

二.设计内容和要求1.掌握ASK的调制解调原理;2.对ASK调制解调电路进行建模,画原理框图;3.根据原理框图利用VHDL语言进行设计,并对程序的每一部分能够解释说明;4.设置合理参数,利用波形仿真进行分析,得出结论。

三.系统设计原理1 系统原理简介(1)数字带通传输系统数字信号的传输方式分为基带传输和带通传输两种方式。

其中,数字信号的基带传输系统是指不经载波调制而直接传输数字基带信号的系统。

未经调制的数字信号所占据的频谱是从零频或低频开始,称为数字基带信号。

对于ASK 调制,它是通过数字信号对载波进行调制,其中包括调制和解调的过程,这种传输方式称为数字频带传输系统。

在实际中,大多数信道因具有带通特性而不能直接传送基带信号,这是因为数字基带信号往往具有丰富的低频分量。

为了使数字信号在带通信道中传输,必须采用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。

二进制振幅键控调制器与解调器设计

二进制振幅键控调制器与解调器设计

二进制振幅键控调制器与解调器设计二进制振幅键控(ASK)调制和解调器是数字通信系统中常用的一种调制和解调技术。

在这种技术中,数字数据被转换为不同振幅的模拟信号,并通过传输介质传输,然后再被解调器还原为数字形式的原始数据。

本文将介绍ASK调制器和解调器的设计原理和实现过程。

1.ASK调制器的设计原理和实现过程ASK调制器的设计目的是将数字数据转换为不同振幅的模拟信号。

其主要原理是根据输入的二进制数据,通过控制模拟信号的振幅来表示不同的数字。

ASK调制器的实现过程可以分为以下几个步骤:(1)输入二进制数据:ASK调制器的输入是二进制数据,表示要传输的数字。

(2)数字信号转换:将输入的二进制数据转换为相应的数字形式。

(3)模拟信号生成:根据数字信号的数值,在一定时间间隔内生成对应振幅的模拟信号。

(4)输出ASK调制信号:根据模拟信号的振幅,输出ASK调制信号用于传输。

2.ASK解调器的设计原理和实现过程ASK解调器的设计目的是将接收到的ASK调制信号还原为原始的数字数据。

其主要原理是根据接收到的模拟信号的振幅来识别不同的数字。

ASK解调器的实现过程可以分为以下几个步骤:(1)接收ASK调制信号:接收传输介质上的ASK调制信号。

(2)模拟信号采样:对接收到的模拟信号进行采样,获取一定时间间隔内模拟信号的振幅。

(3)ASK信号识别:根据模拟信号的振幅,识别出传输的ASK信号对应的数字。

(4)输出解调数据:根据识别出的数字,输出解调后的数据。

3.ASK调制和解调器的设计要考虑的因素在设计ASK调制和解调器时(1)噪声和失真:传输介质上可能存在噪声和失真,对调制和解调的性能影响较大,需要采取相应的抗噪声和失真措施。

(2)带宽:传输介质的带宽限制会对调制和解调的性能产生影响,需要设计合适的调制和解调算法以及滤波器来保证传输的可靠性。

(3)传输距离:传输距离的远近也会影响调制和解调的性能,需要选择合适的调制和解调方案以及增强传输信号的方法。

ASK调制解调

ASK调制解调

基于Simulink的ASK频带传输系统仿真与性能分析实验目的:1)熟悉数字调制系统的的几种基本调制解调方法;2)学会运用Matlab、Simulink设计这几种数字调制方法的仿真模型;3)通过仿真,综合衡量系统的性能指标。

实验原理及分析:数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,所以本文主要讨论二进制的调制与解调,最后简单讨论一下多进制调制中的MFSK(M元移频键控)和MPSK(M元移相键控)。

最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK和2-DPSK)等。

此次实验二进制振幅键控,即——2—ASK。

典型的数字通信系统由信源、编码解码、调制解调、信道及信宿等环节构成,其框图如图3.1所示:数字调制是数字通信系统的重要组成部分,数字调制系统的输入端是经编码器编码后适合在信道中传输的基带信号。

对数字调制系统进行仿真时,我们并不关心基带信号的码型,因此,我们在仿真的时候可以给数字调制系统直接输入数字基带信号,不用再经过编码器。

图3.1 数字通信系统模型根据Simulink提供的仿真模块,数字调制系统的仿真可以简化成如图3.2所示的模型:图3. 2 数字调制系统仿真框图通常,二进制振幅键控信号(2-ASK )的产生方法(调制方法)有两种,如图3.3所示:(a)(b)图3.3 2-ASK 信号产生的两种方法2-ASK 解调的方法也有两种相应的接收系统组成方框如图3.4所示:图3.4 2-ASK 信号接收系统组成框图根据3.3(a )所示方框图产生2-ASK 信号,并用图3.4(b )所示的相干解调法来解调,设计2-ASK 仿真模型如图3.5所示:图3.5 2-ASK模型在该模型中,调制和解调使用了同一个载波,目的是为了保证相干解调的同频同相,虽然这在实际运用中是不可能实现的,但是作为仿真,这样能获得更理想的结果。

仿真波形及分析:ASK调制与解调整个ASK的仿真系统的调制与解调过程为:首先将信号源的输出信号与载波通过相乘器进行相乘,在接收端通过带通滤波器后再次与载波相乘,接着通过低通滤波器、抽样判决器,最后由示波器显示出各阶段波形,并用误码器观察误码率。

实验8、ASK调制解调(修改)

实验8、ASK调制解调(修改)

实验8、A S K调制解调(修改)-CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN实验 8 ASK 调制解调一、实验目的1.掌握 ASK 调制器的工作原理及性能测试;2.掌握 ASK 包络检波法解调原理;3.学习基于软件无线电技术实现 ASK 调制、解调的实现方法。

二、实验原理1.调制与解调数字信号的传输方式分为基带传输和带通传输。

然而,实际中的大多数信道(如无线信道)因具有带通特性而不能直接传送基带信号,这是因为数字基带信号往往具有丰富的低频分量。

为了使数字信号在带通信道中传输,必须用数字基带信号对载波进行调制,以使信号与信道的特性相匹配。

这种用数字基带信号控制载波,把数字基带信号变换为数字带通信号(已调信号)的过程称为数字调制(digital modulation)。

在接收端通过解调器把带通信号还原成数字基带信号的过程称为数字解调(digital demodulation)。

通常把包括调制和解调过程的数字传输系统叫做数字频带传输系统。

数字信息有二进制和多进制之分,因此,数字调制可分为二进制调制和多进制调制。

在二进制调制中,信号参量只有两种可能的取值;而在多进制调制中,信号参量可能有M(M>2)种取值。

本章主要讨论二进制数字调制系统的原理。

2.2ASK 调制振幅键控(Amplitude Shift Keying,ASK)是利用载波的幅度变化来传递数字信号,而其频率和初始相位保持不变。

在2ASK 中,载波的幅度只有两种变换状态,分别对应二进制信息“0”或“1”。

2ASK 信号的产生方法通常有两种:数字键控法和模拟相乘法。

实验中采用了数字键控法,并且采用了最新的软件无线电技术。

结合可编程逻辑器件和 D/A 转换器件的软件无线电结构模式,由于调制算法采用了可编程的逻辑器件完成,因此该模块不仅可以完成 ASK,FSK 调制,还可以完成 PSK,DPSK,QPSK,OQPSK 等调制方式。

二进制振幅键控(ASK)数字频带传输系统设计

二进制振幅键控(ASK)数字频带传输系统设计

二进制振幅键控(ASK)数字频带传输系统设计0 引言在现代数字通信系统中,频带传输系统的应用最为突出。

将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。

在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。

幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。

本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波在数字信号1或0的控制下通或断,在信号为l的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此调制称为二进制振幅键控信号(2ASK,Binary Amplitude Shift Keying)。

1 2ASK信号的算法1.1 时域式中an=1或0,g(t)为脉冲形状,Ts为码元间隔,载波c(t)=COSωct。

当s(t)为矩形脉冲情况下,2ASK 调制被称为开关键控OOK(on-off-key Control),OOK信号用载波的通断(有无)来表示基带“1”码或“0”,如图1所示。

1.2 频域设S(t)频谱为S(ω),S2AKS(t)频谱为:这说明,2ASK信号的频谱是将数字基带频谱中心搬移到载频处,带宽为基带带宽的两倍;又由可知,基带信号是由若干基本脉冲组成的,因而基带信号的带宽完全由基本脉冲带宽决定。

2ASK 信号的带宽取决于基带基本脉冲的带宽,是基本脉冲带宽的两倍。

设矩形脉冲:由式(7)单个基本脉冲的功率谱如图2所示,其中码率Rs=1/Ts。

由图2可见,其各个零点满足:sin(ωTs/2)=0==>ωTs/2=πi,i≠0==>ω=2πiRs,i≠O,第一旁瓣峰值比主峰值约衰减14分贝。

二进制振幅键控信号的

二进制振幅键控信号的

二进制振幅键控信号的摘要:I.引言- 简要介绍二进制振幅键控信号的概念II.基本原理- 详细解释二进制振幅键控信号的工作原理- 阐述二进制振幅键控信号在通信系统中的应用III.调制过程- 详述二进制振幅键控信号的调制过程- 解释ASK信号的产生方式IV.解调过程- 详述二进制振幅键控信号的解调过程- 解释ASK信号的检测方式V.优缺点分析- 分析二进制振幅键控信号的优点- 阐述二进制振幅键控信号的缺点VI.总结- 总结二进制振幅键控信号的重要性和应用领域正文:I.引言二进制振幅键控信号(Binary Amplitude Keying,简称ASK)是一种在数字通信系统中广泛应用的调制方式。

ASK信号通过对信号的幅度进行调制,将二进制数据传输到接收端,从而实现信息的传输。

II.基本原理二进制振幅键控信号的基本原理是将二进制数据转换为对应的信号幅度。

在ASK信号中,通常使用两种不同的幅度来表示二进制的两种状态,即0和1。

当发送端发送0时,信号的幅度较小;当发送端发送1时,信号的幅度较大。

接收端通过检测信号的幅度来判断发送端传输的数据。

在通信系统中,ASK信号通常用于数字音频信号、数字视频信号和数字通信信号的传输。

ASK信号的调制过程可以通过改变信号的幅度来实现,这种调制方式在频谱效率和信号传输方面具有一定的优势。

III.调制过程在ASK信号的调制过程中,首先将二进制数据转换为对应的信号幅度。

然后,将信号与载波信号相乘,得到调制后的信号。

ASK信号的调制过程可以通过一个简单的低通滤波器来实现。

IV.解调过程在ASK信号的解调过程中,接收端首先接收调制后的信号,然后通过一个高通滤波器将载波信号分离出来。

接着,通过检测信号的幅度来判断发送端传输的数据。

V.优缺点分析ASK信号的优点在于其调制和解调过程比较简单,信号的频谱效率较高,因此广泛应用于数字通信系统中。

但是,ASK信号也存在一些缺点,如容易受到噪声和干扰的影响,在传输过程中信号的幅度会受到衰减,从而导致接收端误判。

二进制振幅键控(ASK)调制器与解调器设计

二进制振幅键控(ASK)调制器与解调器设计

课程设计任务书学生姓名:专业班级:通信指导教师:工作单位:信息工程学院题目: 二进制振幅键控(ASK)调制器与解调器设计要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-Shift Keying)。

ASK有两种实现方法:1.乘法器实现法2.键控法为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。

ASK解调方法有两种1. 同步解调法2. 包络解调法。

时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (I)Abstract .......................................................................................................................... I I 1. 绪论 .. (1)1.1 本课题的研究现状 (1)1.2 选题目的意义 (1)2.2ASK系统工作原理及数学模型 (2)2.1 2ASK的调制原理及设计方法 (2)3.2ASK各个模块的设计 (4)3.1 2ASK的调制部分 (4)3.2 2ASK解调部分 (4)4.VHDL程序设计 (5)4.1 2ASK调制部分程序设计 (5)4.2 2ASK解调程序设计 (6)5. 2ASK的仿真结果及分析 (7)5.1Quartus II的介绍 (7)5.2Quartus II的优点 (7)5.3 2ASK调制仿真 (8)5.4 2ASK解调仿真 (9)6.总结 (12)7.参考文献 (13)附录 (14)摘要从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。

课程设计二进制振幅键控信号的调制解调系统设计

课程设计二进制振幅键控信号的调制解调系统设计

一.课程设计目的--------------------------------2 二.设计内容----------------------------------------2 三.工作原理----------------------------------------3 3.1数字调幅技术的原理和相关概念3.2二进制幅移键控(ASK)(1)ASK 信号的产生(2)ASK 信号的解调方式四.基于PROTELDE的ASK调制解调的仿真电路------------74.1ASK 信号产生电路设计4.2实际电路中乘法器的实现4.3解调电路设计(1)相干解调(2)非相干解调(包络检波)4.4.低滤波电路环节设计4.5.比较电路环节设计4.6.电压判决电路环节设计4.7.ASK 调制解调仿真电路综合设计五.Protel环境下仿真波形及波形分析-------------------235.1电路图标记各点在protel环境下的仿真波形5.2波形分析5.3.结论六.心得体会--------------------------------------30 七.参考资料--------------------------------------------------------------------31八.附件----------------------------------------------------------------32一.课程设计目的通过本课程的学习我们不仅能加深理解和巩固理论课上所学的有关2ASK调制与解调系统的基本概念、基本理论和基本方法,而且能锻炼我们分析问题和解决问题的能力;同时对我们进行良好的独立工作习惯和科学素质的培养,为今后参加科学工作打下良好的基础二.设计内容:1. 基于MATLAB和PROTEL实现2ASK的设计2. 熟悉数字调幅技术的有关原理和实现方法。

3. 在PORTEL中设计ASK调制解调电路。

二进制振幅键控(2ASK)数字调制系统仿真和分析课程设计

二进制振幅键控(2ASK)数字调制系统仿真和分析课程设计

课程设计课程设计名称:二进制振幅键控(2ASK)数字调制系统仿真和分析专业班级:电信1001学生姓名:Donalsly 学号:201046830113指导教师:课程设计时间:2013年09月07电子信息工程专业课程设计任务书1 需求分析1、 主要内容:对二进制数字信源进行振幅键控调制(2ASK ),画出信号波形及功率谱。

并分析其性能。

2、 任务要求:(1) 掌握二进制振幅键控(2ASK )数字调制系统的原理及实现。

(2) 用MATLAB 产生独立等概的二进制信源。

画出2ASK 信号波形及其功率谱。

2 概要设计图1 二进制振幅键控信号调制器原理框图)(a )开关电路)(b )图2 二进制振幅键控(2ASK)数字调制程序设计框图3 运行环境1.Windows 7系统2.MATLAB7.1软件4 开发工具和编程语言开发工具:MATLAB软件编程语言:汇编语言5 详细设计算法实现的源程序:(1)主程序: 实现设计一个正弦信号ht和产生二进制随机信号gt、2ASK信号产生及功率频谱图clear all;close all;A=1;fc=2;N_sample=8;N=550;Ts=1;dt=Ts/fc/N_sample;t=0:dt:N*Ts-dt;Lt=length(t);d=sign(randn(1,N));dd=sigexpand((d+1)/2,fc*N_sample);gt=ones(1,fc*N_sample);figure(1)subplot(221);d_NRZ=conv(dd,gt);plot(t,d_NRZ(1:length(t)));axis([0 10 0 1.2]);ylabel('输入信号');figure(2)[f,d_NRZf]=T2F(t,d_NRZ(1:length(t)));plot(f,10*log10(abs(d_NRZf).^2));axis([-2 2 -50 30]);ylabel('输入信号功率谱密度(dB/Hz)');ht=A*cos(2*pi*fc*t);s_2ask=d_NRZ(1:Lt).*ht;figure(3)plot(t,s_2ask);axis([0 10 -1.2 1.2]);ylabel('OOK');[f,s_2askf]=T2F(t,s_2ask);figure(4)plot(f,10*log10(abs(s_2askf).^2));axis([-fc-4 fc+4 -50 10]);ylabel('OOK功率谱密度(dB/Hz)');(2)子函数sigexpand实现产生二进制随机信号function [out] = sigexpand(d,M)N = length(d);out = zeros(M,N);out(1,:) = d;out = reshape(out,1,M*N);end(3)子函数T2F实现信号变换得到频谱function [f,sf] = T2F(t,st)dt=t(2)-t(1);T=t(end);df=1/T;N=length(st);f=-N/2*df:df:N/2*df-df;sf=fft(st);sf=T/N*fftshift(sf);end6 调试分析二进制数字信源进行数字调制(2ASK)系统,首先输入二进制信号是一个随机产生的0和1独立等概的二进制信源,其波形如下图3所示,其频谱如下图4所示,再给定一个正弦波信号,当该二进制信号与正弦波一起调制时,得到2ASK 信号,其波形如下图5所示,由图可看出,当二进制输入为0时,2ASK信号幅度为0,当二进制信号输入为1时,2ASK信号为输入的正弦波信号。

二进制数字幅度调制

二进制数字幅度调制

B2 ASK
2Bg
2 Tb
2 fb
因为系统的传码率RB=1/Tb(Baud),故2ASK系统的频带利
用率为
1
rB
Tb 2
fb 2 fb
1 (Buaud/ Hz) 2
Tb
3. 2ASK信号的解调及系统误码率
e2 AS K(t)
带通
a
滤波 器
全波 整流 器
b
低通
滤波 器
(a)
c
抽样
判决 器
定时 脉冲
时可近似地得出系统误码率为
Pe
1
e
r 4
2
式中r=A2/(2
2 n
)为输入信噪比。由此可见,包络解调2ASK系
统的误码率随输入信噪比r的增大,近似地按指数规律下降。
2. 相干解调时2ASK系统的误码率
其接收带通滤波器BPF的输出为:
y(t
)
A
cosct nc (t) nc (t) cosct
(2) 基于同样的原因,我们可以知道,上面所述的2ASK信
号实际上相当于双边带调幅(DSB)信号。因此,由图7 可以看出,
2ASK信号的带宽B2ASK是单极性数字基带信号Bg的两倍。当数
字 基 带 信 号 的 基 本 脉 冲 是 矩 形 不 归 零 脉 冲 时 , Bg=1/Tb 。 于 是
2ASK信号的带宽为
则二进制振幅键控信号的功率谱密度P2ASK(f)为
P2 ASK (
f
)
1 16
Ts
S
a2
[
(
f
fs
fc ) ]
Sa2[ (
f
fs
fc
)

二进制振幅键控(2ASK)

二进制振幅键控(2ASK)

二进制振幅键控(2ASK)摘要: 振幅键控(也称幅移键控),记作ASK(Amplitude shift keying), 也称通断键控(或开关键控),记作OOK(On-Off Keying)。

二进制振幅键控通常记作2ASK。

一、2ASK 信号时域与频域分析1.基本原理二进制...振幅键控(也称幅移键控),记作ASK(Amplitude shift keying),也称通断键控(或开关键控),记作OOK(On-Off Keying)。

二进制振幅键控通常记作2ASK。

一、2ASK 信号时域与频域分析1.基本原理二进制振幅键控就是用代表二进制数字信号的基带矩形脉冲去键控一个连续的载波。

有载波输出时表示发送“1”,无载波输出时表示发送“0”,由此可得2ASK 信号时间波形如图1 所示。

根据线性调制原理,一个2ASK 信号可以表示成一个单极性不归零序列和一个正弦载波相乘,即2ASK 信号的一般表达式为(1)其中是持续时间为的矩形脉冲,而的取值服从下述关系(2)现令(3)则式(1)变为(4)图1 2ASK 信号的时间波形2ASK 信号的产生方法:有键控法和模拟调制法,如图2 所示。

图2 2ASK 信号的产生2.功率谱密度和带宽由于2ASK 信号可以表示成若设的功率谱密度为,2ASK 信号的功率谱密度为。

因为是单极性的随机脉冲序列,即单极性不归零码,功率谱密度为此时,2ASK 信号的功率谱密度当概率时,同时又考虑到和,则2ASK 的功率谱密度为功率谱密度示意图图3 2ASK 信号的功率谱密度示意图(1)因为2ASK 信号的功率谱密度是相应的单极性数字基带信号功率谱密度形状不变地平移至处形成的,所以2ASK 信号的功率谱密度由连续谱和离散谱两部分组成。

它的连续谱取决于数字基带信号基本脉冲的频谱;它的离散谱是位于处的一对频域冲激函数,这意味着2ASK 信号中存在着可作载频同步的载波频率的成分。

(2)由图3 可以看出。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计任务书学生姓名:专业班级:通信指导教师:工作单位:信息工程学院题目: 二进制振幅键控(ASK)调制器与解调器设计要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)数字信号对载波振幅调制称为振幅键控即ASK(Amplitude-Shift Keying)。

ASK有两种实现方法:1.乘法器实现法2.键控法为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。

ASK解调方法有两种1. 同步解调法2. 包络解调法。

时间安排:指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (I)Abstract .......................................................................................................................... I I 1. 绪论 .. (1)1.1 本课题的研究现状 (1)1.2 选题目的意义 (1)2.2ASK系统工作原理及数学模型 (2)2.1 2ASK的调制原理及设计方法 (2)3.2ASK各个模块的设计 (4)3.1 2ASK的调制部分 (4)3.2 2ASK解调部分 (4)4.VHDL程序设计 (5)4.1 2ASK调制部分程序设计 (5)4.2 2ASK解调程序设计 (6)5. 2ASK的仿真结果及分析 (7)5.1Quartus II的介绍 (7)5.2Quartus II的优点 (7)5.3 2ASK调制仿真 (8)5.4 2ASK解调仿真 (9)6.总结 (12)7.参考文献 (13)附录 (14)摘要从信号传输质量来看,数字系统优于模拟系统,在数字通信网中,除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术指标。

在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控(ASK)。

如今,FPGA在通信领域得到了广泛的应用,利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路的设计,而且易于反复编写和修改程序。

本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和解调电路设计的实现方案,给出了程序设计和仿真结果。

完成了二进制基带数字信号的调制的解调,得到相应的调制信号和解调。

关键词:FPGA 二进制振幅键控ASK 调制解调AbstractFrom the quality of signal transmission, the digital system is better than analog system, in the digital communication network, in addition to considering the anti-interference ability, but also consider the important technical indexes of capacity and frequency resource utilization. In digital transmission system, the digital signal to modulate the high frequency carrier, to the frequency signal, demodulation at the receiving end to restore the original digital signal, control the carrier amplitude modulation is divided into amplitude shift keying (ASK). Now, FPGA has been widely used in the field of communication, the use of FPGA superior performance, convenient use, it can simplify the design of amplitude modulation and demodulation circuit, but also easy to repeatedly write and modify the program.The experiment use the VHDL language to realize the scheme of modulation circuit and demodulation circuit design of amplitude shift based on FPGA, the program design and the simulation results are given. The completion of the modulation and demodulation of binary baseband digital signal, get the modulation signal and the corresponding demodulation.Keywords: FPGA binary amplitude shift keying ASK modulation demodulation1. 绪论1.1 本课题的研究现状随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。

现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。

数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。

由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。

模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。

由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。

现代通信系统的发展随着Verilog HDL等设计语言的出现和ASIC 的应用进入了一个新的阶段。

由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。

因而,调制技术是实现现代通信的重要手段。

本文在研究ASK 系统的基础上,基于FPGA 设计了它的调制解调仿真实现方案。

首先确定了ASK 系统的仿真方案。

其次编写了方案所需的程序,调制及解调实现功能。

结果表明用FPGA 控制ASK 系统的实现方法简单,误码率低。

提高了数字通信系统的效率,降低了成本。

1.2 选题目的意义这个课题是基于FGPA 设计并制作一个2ASK调制解调器,实现数字信号对载波的调制和解调。

通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。

现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。

由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统。

2.2ASK系统工作原理及数学模型2.1 2ASK的调制原理及设计方法数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。

2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。

有载波输出时表示发送“1”,无载波输出时表示发送“0”。

通过“0”和“1”的组合,来发送数据。

2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图(a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实现的。

图(b)是一种数字键控法,键控法是产生2ASK信号的一种方法。

在2ASK 中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。

一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying)。

所以2ASK又称为通断控制(OOK)。

最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。

其中的开关电路受s(t)控制。

图(c)是基带信号和调制信号波形。

图1 2ASK信号产生的方法及波形2.2 2ASK解调原理及设计方法2ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。

包络检波法的原理方框图如图2所示:调制后的2ASK信号先通过一个带通滤波器(BPF),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;再经过一个低通滤波器(LPF),低通滤波器的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还原出基带信号。

定时脉冲S(t)图2 2ASK信号的包络解调相干检测法原理方框图如图3所示:相干检测就是同步解调,首先是2ASK信号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和cos w c t 相乘会产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即可得到低频成分也就是基带信号。

图3 2ASK的相干解调3. 2ASK 各个模块的设计3.1 2ASK 的调制模块2ASK 调制的建模方框图如图4所示。

图中为数字部分,输出信号为数字信号。

其建模思想为:(1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。

(2)采用键控法:调制在图4中,数字基带信号作为键控信号控制与门来完成ASK 调制。

图4 2ASK 的调制方框图3.2 2ASK 解调模块解调方框图如图5所示。

解调器包括分频器,计数器,寄存器和判决器等。

分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK 信号存入寄存器,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK 载波个数进行计数,当计数值m 小于3时,输出为:“0”,否则则输出为:“1”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。

相关文档
最新文档