汽车尾灯控制电路设计27页PPT

合集下载

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计一、设计目的汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。

二、设计要求利用两个输入开关来控制汽车的运行和转向引脚自行配置三、电路及连线设计四、使用说明当向左转时,扳动开关K1,则左边尾灯闪烁;当向右转时,扳动开关K2,则右边尾灯闪烁;当急刹车时,同时扳动K1,K2 则两边尾灯同时闪烁。

五、流程图设计六、程序设计如下:library ieee;use ieee.std_logic_1164.all;entity kz isport(left,right:in std_logic;lft,rit,lr:out std_logic);end kz;architecture kz_arc of kz isbeginprocess(left,right)variable a:std_logic_vector(1 downto 0);begina:=left&right;case a iswhen"00"=>lft<='0';rit<='0';lr<='0';when"10"=>lft<='1';rit<='0';lr<='0';when"01"=>rit<='1';lft<='0';lr<='0';when others=>rit<='0';lft<='0';lr<='1';end case;end process;end kz_arc;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity timer2s isport(clk:in std_logic;clkout:out std_logic);end entity timer2s;architecture one of timer2s isbeginprocess(clk)variable counter:std_logic_vector(7 downto 0);variable qclkout:std_logic;beginif clk'event and clk='1' thenif counter="11111111" thenqclkout:=not qclkout;clkout<=qclkout;counter:="00000000"; else counter:=counter+1;end if;end if;end process;end architecture one;library ieee;use ieee.std_logic_1164.all;entity lfta isport(en,clk,lr:in std_logic;l2,l1,l0:out std_logic);end lfta;architecture lft_arc of lfta isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' thentmp(0):=NOT CLK;tmp(1):=NOT CLK;tmp(2):=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' thenif tmp="000" thentmp:="001";elsetmp:=tmp(1 downto 0)&'0';end if;end if;l2<=tmp(2);l1<=tmp(1);l0<=tmp(0);end process;end lft_arc;library ieee;use ieee.std_logic_1164.all;entity rita isport(en,clk,lr:in std_logic;r2,r1,r0:out std_logic);end rita;architecture rit_arc of rita isbeginprocess(clk,en,lr)variable tmp:std_logic_vector(2 downto 0);beginif lr='1' thentmp(0):=NOT CLK;tmp(1):=NOT CLK;tmp(2):=NOT CLK;elsif en='0' thentmp:="000";elsif clk'event and clk='1' thenif tmp="000" thentmp:="100";elsetmp:='0'&tmp(2 downto 1);end if;end if;r2<=tmp(2);r1<=tmp(1);r0<=tmp(0);end process;end rit_arc;七、设计总结:通过几天的紧张工作,最后完成了我的设计任务——汽车尾灯控制器的设计。

汽车尾灯的控制电路

汽车尾灯的控制电路

14应电一班 张鑫
左右更加深刻掌握对VHDL的了解以及应用,虽 然在设计中遇到不少棘手的问题,但经过装机认真的思考和在老 师、同学的帮组下最后还是把问题解决了,同时,也暴露出前期 我在这方面的知识欠缺和不足的地方,今后,我会更加努力的学 习以充实自己。
• 本次课程设计不仅培养了我在学习时要严谨,而且也告诉自己要 独立思考、勤于动手的能力,相信这次课程设计结束过后,我的 理论知识和软件知识都进步了一大步,也为今后打下了更好的基 础。
汽车尾灯的控制电路
设计任务
• 设计一个汽车尾灯控制电路,能显示十字路口的东西、南北两个 方向的红、黄、绿灯的状态。同时也要在特殊状态下实现以下功 能:碰到紧急情况,需要优先放行车辆时,东西、南北方向上的 指示灯均显示为红灯,倒计时停止,并保持原来状态。当特殊运 行状态结束时,计数器便恢复,开始正常计数。
实验目的
• 1、锻炼学生综合运用电子技术基础知识; • 2、提高学生使用中规模集成芯片;
• 3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后 进行复杂的综合电子系统的设计和调试打下基础。
电路控制程序
• 主控制模块: • 说明:此程序为系统主控制模块。当左转时,lft信号 有效;右转时,rit信号有效;当左右信号都有效的时, lr有效。

汽车尾灯设计汽车尾灯控制电路

汽车尾灯设计汽车尾灯控制电路

汽车尾灯设计汽车尾灯控制电路汽车尾灯是车辆的重要安全设备之一,它在夜间或恶劣天气条件下起到了车辆后方的警示作用。

汽车尾灯设计的关键是要满足以下几个方面的要求:亮度适中、色彩鲜明、节能耐用、灵活控制等。

因此,设计一套合适的汽车尾灯控制电路是有必要的。

首先,我们需要考虑亮度的问题。

尾灯的亮度不能过高也不能过低。

如果亮度过高,可能会对后车产生眩光,造成危险;而亮度过低则无法有效地起到警示作用。

为了解决这个问题,我们可以在尾灯控制电路中添加一个亮度调节功能。

通过控制亮度调节器的输入电压大小,来调整灯具的亮度,使其在适当的亮度范围内工作。

其次,我们需要考虑色彩鲜明的问题。

尾灯的颜色应当鲜明、明亮,以便其他司机能够迅速辨认。

普遍的做法是,采用红色灯泡作为尾灯。

然而,在尾灯控制电路中,我们还可以添加一个颜色调节器,通过控制颜色调节器的输入电压大小,来调整灯具的颜色,使其在适当的颜色范围内工作。

此外,节能耐用也是尾灯设计的重要考虑因素之一、汽车尾灯工作时间较长,因此控制电路需要具备较高的能效,并且电路的设计要尽量简洁,以减少功耗和故障概率。

在设计尾灯控制电路时,我们可以采用高效的开关电源,降低功耗,同时选择高品质的电子元器件,提高电路的可靠性和寿命。

最后,我们需要考虑灵活控制的问题。

尾灯的工作状态应该由车辆的控制系统来进行灵活控制。

比如,在夜间或者雾天时,尾灯可以自动调整亮度和颜色以提高可见性;而在白天或晴天时,尾灯可以自动开启低亮度模式以节约能源。

因此,尾灯控制电路需要具备与车辆的控制系统相连的能力,以接收控制信号并进行相应的工作状态调整。

综上所述,设计一套合适的汽车尾灯控制电路需要考虑亮度、色彩、节能耐用和灵活控制等多个方面的要求。

通过合理的电路设计和元器件选择,可以满足这些要求,并提高尾灯的性能和可靠性,从而更好地保障道路交通安全。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

基于555多谐振荡器的汽车尾灯控制电路设计摘要本次设计的汽车尾灯控制电路是用数字电路实现的。

汽车尾灯显示控制电路是汽车尾灯电路的重要组成部分,主要完成控制与驱动功能,具体电路由三进制计数器电路、汽车行驶状态开关模拟电路和汽车行驶状态显示电路三部分组成。

在本次设计中,使用555多谐振荡器来制作电路脉冲产生器,产生时钟脉冲CP。

在三进制计数器电路部分用到了数字电路中的触发器、时序逻辑电路的设计和卡诺图的化简,使用到JK触发器芯片74LS76;在汽车行驶状态开关模拟电路部分则用到了组合逻辑电路中译码器及逻辑门电路,使用到3-8译码器芯片74LS138、与门芯片74LS08、与非门芯片74LS00和或门芯片74LS32等;在汽车行驶状态显示电路中用发光二极管模拟显示汽车正常行驶、左转、右转和紧急刹车的四种状态。

关键词:数字电路555多谐振荡器三进制计数器触发器发光二极管开关控制电路目录一绪论 (1)1.1 课题研究背景及意义 (1)1.2 国内外研究现状及发展趋势 (1)1.2.1 研究状况 (1)1.2.2 发展趋势 (1)1.3 本文主要工作安排与方案论述及方案确定 (2)1.3.1 主要工作安排 (2)1.3.2 设计任务与设计要求 (2)1.3.3 方案论述与确定 (3)二基本理论知识 (5)2.1 主要芯片介绍 (5)2.1.1 555定时器 (5)2.1.2 与非门74LS00 (7)2.1.3 异或门74LS136 (8)2.1.4 JK触发器74LS76 (9)2.1.5 3线~8线译码器74LS138 (13)2.2 各单元电路设计 (14)2.2.1 555时钟脉冲电路设计 (14)2.2.2 三进制循环控制电路设计 (16)2.2.3 开关控制电路设计 (17)2.2.4 译码及显示驱动电路设计 (18)三总体电路设计 (21)3.1 总体汽车尾灯控制电路设计原理图 (21)3.2 汽车尾灯控制电路的主要工作原理 (21)四电路测试与仿真 (23)五总结 (27)参考文献 (28)附录 (29)一绪论1.1 课题研究背景及意义在现代社会中,汽车已成为不可缺少的交通工具,其不单单是一种代步工具,还是一种社会生活水平的象征。

汽车尾灯控制器的电路设计

汽车尾灯控制器的电路设计

课程设计任务书学生姓名:专业班级:指导教师:工作单位:题目: 汽车尾灯控制器的电路设计一、训练目的:主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。

二、初始条件:计算机;Microsoft Office Word 软件;PROTEL软件本设计既可以使用中、小规模集成电路芯片7400、7404、74138、7476、7486和其它器件实现对汽车尾灯显示的控制功能。

本设计也可以使用单片机系统构建简易频率计。

工作电源Vcc为12V。

电路组成框图如图1所示:图1汽车尾灯控制电路框图要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:设汽车尾部左右两侧各有3个指示灯(用发光管模拟),要求是:①汽车正常行驶时,尾灯全部熄灭。

②当汽车右转弯时,右侧3个指示灯按右循顺序点亮。

③当汽车左转弯时,左侧3个指示灯按左循顺序点亮。

④临时刹车时,所有指示灯同时闪烁。

⑤选择电路方案,完成对确定方案电路的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

3、查阅至少5篇参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

4.时间安排:7.5:理论设计7.6~7.7:安装调试或仿真7.8:撰写报告7.9:答辩指导教师签名:2010年6月10日系主任签名:2010年 6 月10 日目录1摘要 (1)2设计要求与思路 (1)2.1设计目的与要求 (2)2.2设计思路构想 (2)223单元电路设计 (4)3.1 秒脉冲电路的设计 (4)3.2 开关控制电路的设计 (5)3.3 三进制计数器电路的设计 (4)3.4 译码与显示驱动电路的设计 (7)3.5 尾灯状态显示电路的设计 (8)4电路仿真与分析 (10)4.1电路仿真总电路图 (10)4.2汽车尾灯控制器电路的工作原理 (10)5 电路安装与调试 (11)5.1电路安装过程 (11)5.2电路的调试 (11)6 元器件清单 (11)7 设计体会 (13)参考文献 (14)1摘要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。

汽车尾灯显示控制电路设计

汽车尾灯显示控制电路设计

课程设计任务书题目: 汽车尾灯显示控制电路设计初始条件:汽车尾灯控制电路由四部分组成,控制电路、时钟发生电路、逻辑开关及逻辑电平指示。

(1)转弯信号是四状态计数电路,可由小规模触发器构成,也可由中规模计数器构成。

(2)时钟产生电路,可由555定时器构成1Hz信号和50Hz信号(用于停车时,尾灯亮度为正常一半)。

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。

右转弯时三个右边的灯应动作,左边的灯则全灭,右边的灯周期性明亮与暗,一周约需一秒,对于左转弯,左边灯的操作应相类似;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗。

同时,电路还用一个开关模拟脚踏制动器,制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮,在转弯的情况下,三个转向的尾灯应正常动作,另三个尾灯连续亮。

另一个开关模拟停车,停车时,全部尾灯亮度为正常的一半。

时间安排:第17周(7、8节):理论讲解,新1-02第18~19周:理论设计及实验室安装调试;地点:鉴主15通信工程实验室(1),鉴主13通信工程专业实验室;第20周:撰写设计报告及答辩;地点:鉴主17楼研究室。

指导教师签名:2008年月日系主任(或责任教师)签名:年月日目录1设计任务及要求 (4)2 设计电路框图 (5)3各部分电路设计过程 (6)3.1秒脉冲电路的设计 (6)3.2开关控制电路的设计 (7)3.3三进制计数器电路的设计 (9)3.4译码与显示驱动电路的设计 (11)3.5 尾灯状态显示电路的设计 (12)4 电路总图 (13)4.1汽车尾灯控制器电路的工作原理 (13)4.2参数计算与器件选择 (13)5元器件清单 (14)6仿真及结果分析 (16)7小结与体会 (18)8 参考文献 (19)摘要本课题设计构成一个控制汽车六个尾灯的电路,用六个指示灯模拟六个尾灯(汽车尾部左右每侧三个灯),并用两个拨动式(乒乓)开关作为转弯信号源;一个兵乓开关用于指示右转弯,一个乒乓开关用于指示左转弯,如果两个乒乓开关都被接通,说明驾驶员是一个外行,紧急闪烁器起作用。

汽车尾灯控制电路设计课程设计

汽车尾灯控制电路设计课程设计

汽车尾灯控制电路设计1. 综述本课题要求设计一个汽车尾灯的控制电路。

该电路是用于反映汽车在运行时的状态,汽车尾部左右两侧各有4个指示灯。

当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。

假设汽车尾灯左右两侧各有4个指示灯(用发光二极管模拟),要求是:汽车正常远行时指示灯全灭;右转弯时,右侧4个指示灯按右循环顺序点亮;左转弯时左侧4个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。

经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给触发器和刹车时的输入信号。

触发器用于产生三进制的的循环信号,此信号提供左转、右转的原始信号。

左转、右转的原始信号通过8个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的4个汽车尾灯上。

这部分电路起到信号分拣的作用。

分拣之后的信号通过与门,实现与刹车左、右转电键信号的之间选择。

最终得到的信号即可输出到发光二极管上,实现所需功能。

设计本电路是考虑了三种以上的设计方案。

这几种方案的不同在于产生三进制计数器。

理论部分已用Proteus软件进行仿真,并且达到设计要求。

实际部分在电子实验室和同组的成员在老师的指导下一进行模拟,能够达到理论设计要求。

2. 方案选择与论证方案一:该方案通过74LS160或74LS161计数器构成能产生00、01、10三种状态循环的信号。

方案二:通过双 J-K 触发器 74LS76来产生00、01、10的三种状态信号方案三:通过D触发器产生00、01、10的三种状态信号方案四:通过T触发器产生00、01、100的三种状态信号第一种方案非常简单,但是该方案在模拟时发现,由于计数器的竞争冒险的存在,使得尾灯在闪烁时总会出现不自然的中间过程。

所以不推荐使用,相对的是第二种方案,效果是最好的,但设计起来比较复杂,需要极大的细心和耐心,最后我们决定采用第三种方案,设计的复杂程度适中,而且达到了预期的设计目的3.电路设计框图及功能描述表3.1系统框图表3.2 尾灯与汽车运行状态表开关控制运行状态左尾灯右尾灯D4D5D6 D1D2D3 O O 正常运行 灯灭 灯灭O1右转弯灯灭按D1D2D3顺序循环点亮 1 O 左转弯 按D4D5D6顺序循环点亮灯灭11临时刹车所有的尾灯随时钟CP 同时闪烁电路组成及工作原理:经过以上所述的设计内容及要求的分析,可以将电路分为以下1S 0S几部分:首先,通过555定时器产生频率为1Hz 的脉冲信号,该脉冲信号用于提供给D 触发器和刹车时的输入信号。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

汽车尾灯控制电路设计一、设计任务与要求假设汽车尾部有4个指示灯(用发光二极管模拟),设计要求:(1)汽车正常运行时指示灯全灭(开关s1,s2同时断开);(2)右转弯时,4个指示灯按右循环顺序发光(s1断开、s2闭合);(3)左转弯时,4个指示灯按左循环顺序发光(s1闭合、s2断开);(4)刹车时,所有指示灯全亮(s1、s2同时闭合)。

二、实验设备数字电路试验箱,函数发生器,74LS00,74LS86,74LS161, 74LS138三、设计原理1、汽车尾灯控制电路系统框图电路系统框图如下图所示2、设计步骤列出尾灯变化与开关状态的关系表如下表。

汽车尾灯与开关状态关系表由于汽车左右转弯时,四个指示灯循环发光,所以用四进制计数器控制译码器电路顺序输出低电平,再加之门电路,从而控制尾灯按要求发光。

由此得出在每一种运行情况下,各指示灯与各给定条件S1,S2,CP,Q1,Q0的关系,如下表所示。

首先是设计四进制计数器,用74LS161是很容易实现的,只要接回Q1,Q0这就是四进制计数器了。

由于当A与B逻辑值相等时,四进制计数器相当于对控制电路没有影响,因此可以将A与B异或然后接到74LS138的使能端EN1上,这样可保证A与B同时为1或0时,74LS138不工作,也就是四进制计数器对控制电路没影响了。

由于四进制计数器的循环方向是一致的,因此需要在四进制计数器和三八译码器加门电路。

以保证电路的输出。

左转右转的状态转换表A1因此111A S Q =⊕因此 :010A S Q =⊕由于S1,S2为00和11时,三八译码器不工作,因此0m 1m 2m 3m 都为逻辑1,此时必须将S1,S2通过门电路来控制指示灯的开断。

此时可以先把S1与S2与非然后分别和0m 1m 2m 3m 与非,这样就可以实现S1,S2为00和11时,指示灯去全灭和全亮。

当S1,S2为01和10时,S1与S2与非后为1,再与0m 1m 2m 3m 与非,为0m 1m 2m 3m ,正是我们所要的结果。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

1、EDA技术发展及介绍1.1EDA技术的介绍EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL ( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。

HDL 语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。

设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。

目前,就FPGA/CPLD 开发来说,比较常用和流行的HDL主要有ABEL-HDL、AHDL和VHDL。

1.2 EDA技术的发展可将EDA技术分为三个阶段。

(1)七十年代为CAD阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作,产生了计算机辅助设计的概念。

(2)八十年代为CAE阶段,与CAD相比,除了纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计,这就是计算机辅助工程的概念。

CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。

(3)九十年代为ESDA阶段,尽管CAD/CAE技术取得了巨大的成功,但并没有把人从繁重的设计工作中彻底解放出来。

在整个设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。

汽车尾灯控制电路电子技术课程设计

汽车尾灯控制电路电子技术课程设计

电子技术课程设计——汽车尾灯控制电路 学 院:电子信息工程学院班 级:自动化051501 姓 名: 学 号:0119 指导教师:一:设计任务与要求设计内容:设计一个汽车尾灯控制电路,使尾灯能随着汽车运动状态的改变 而发生亮灭变化。

要求:1:汽车左转时,左灯按以下顺序变化:亮灭亮 灭亮灭;当 汽车右转时,右尾灯按以下顺序变化:亮灭亮TO 灭亮灭。

2:汽车正常前进时,尾灯全亮。

3:汽车刹车时,尾灯同时按一定频率闪亮。

二:总体框图汽车运行状态电路模块:模拟汽车的运行状态,S1合向上边,S2合向下边 时,汽车右转;S1合向下边,S2合向上边时,汽车左转; SI 、S2同时合向上边时,汽车在刹车状态 ;S1、S2同时合向下边时,汽车正常运行。

分频电路模块:分析来自译码器的信号,判断汽车处于哪种状态,进而将判 断结果输入显示电路。

显示电路:通过74LS194将分频电路分析出的信号通过小灯直观的显示出 来。

三、选择器件 1:74LS138 逻辑符号: 上图为3线-8线译码器74LS138的逻辑符号图, 其有3个附加的控制端G1、 G2A 和G2B 。

当G1=1、G2A+G2B=0时,其附加门GS 才输出高电平(S=1),译码 器处于工作状态。

否则译码被禁止,所有的输出端被封锁在高电平,这三个控制端也叫做“片选”输入端,利用片选的作用可以将多片连接起来以扩展译码左转显示电路 运行状态控制电路右转显示电路 译码电路 分频电路器的功能。

图(9)3线-8线译码器74LS138的逻辑符号图74LS138的内部原理图74LS138的内部结构图3线-8线译码器 74LS138的功能表 输入输出S1 S2+S3 A0 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X 1 1 11 1 1 1 1 X 1 0 0 00 0 0 0 0X X 0 0 0 0 1 1 1 1X X 0 0 1 1 0 0 1 1X X 0 1 0 1 0 1 0 11 1 0 1 1 1 1 1 1 11 1 1 0 1 1 1 1 1 11 1 1 1 0 1 1 1 1 11 1 1 1 1 0 1 1 1 11 1 1 1 1 1 0 1 1 11 1 1 1 1 1 1 0 1 11 1 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 0由3线-8线译码器74LS138的功能表也可以看出,当S1=0时,无论S2+S3等于0还是1,译码器都处于禁止状态,当S1=1,S2+S3=0时,译码器处于工作状态。

《课程设计-汽车尾灯控制器的电路设计》精选全文

《课程设计-汽车尾灯控制器的电路设计》精选全文

可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。

2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。

二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。

表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。

整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。

555定时器的管脚图如图2所示。

由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

汽车尾灯控制电路

汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路班级学号姓名平时成绩答辩成绩报告成绩总分122039114刘洋122039113合兴国122039125薛强一:设计任务设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当汽车停车时,全部灯灭,当汽车左转弯时,左边的灯依次点亮,右边的转向灯全灭,当汽车右转弯时,右边的车灯依次点亮,左边的车灯全灭。

当司机不慎同时接通左右两个转弯开关时,汽车尾灯全部按一秒的频率闪烁。

当司机按下刹车开关时,汽车尾灯全部点亮。

当汽车刹车的同时有向左转弯的动作,汽车左侧尾灯依次点亮,右侧尾灯全部点亮。

同理汽车刹车且向右转弯,右侧车灯依次点亮,左侧车灯全亮。

二:设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、,所停车等动作时。

车灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到相的一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

汽车尾灯控制电路设计总体框图。

表一汽车尾灯和汽车运行状态表开关控制汽车运行状态右转尾灯左转尾灯A B CR1R2R3L1L2L30 0 0 停车灯灭灯灭1 0 1 左转弯灯灭按L1L2L3顺序循环点亮0 1 0 右转弯按R1R2R3顺序循环点亮灯灭1 1 1 接通两项转弯所有尾灯同时按1HZ频率点亮0 1 1 向右侧刹车右侧灯依次亮,左侧灯全亮10 1 向左侧刹车左侧灯依次亮,右侧灯全亮1 1 0 急刹车全亮三:实验器件名称规格数量译码器SN74LS138N 1计数器DM74LS163AM 2或门DM74ls32M 20非门DM74ls00N 8与门DM74ls08M 9二极管发光二极管 6方波发生器1HZ 1四:设计内容设计说明:实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理图如2-1所示:图2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。

有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档