基于TLC5620信号发生器的设计与实现_郑润娜
基于TLC5620的低频函数信号发生器设计
LOAD
LD AC
图1 T C 6 0 工作 时序 L 52 的
2 低 频 函数 信 号 发 生 器 设 计
基 于T J5 2 的低频 函数 信 号 发 生 器具 有 如 I 60 C
本 设 计 采用 P .~ 27 2 P .来分 别 连 接T C 6 0 4 L 5 2 的
( 一路 均具有 两级 缓 冲器 ,即输人 锁存 器 和D C 每 A
锁 存器1 、一个 输 出量程 开 关 、一个 8 D C电路 位 A
以及 一个 电压 输 出 电路 。T C 6 0 L 5 2 采用 单 电源 供
电 。数模 转 换后 的 电压 幅度可 编程 调节 。器件 采
f)可 通过3 E 数 码 管显示 信号频 率 ; 4 个L D
CLK
各 种所需 要 的波 形 。但是 。这 些模 拟 方 法得 到 的 信 号频 率 往 往 不 是 很 稳 定 。且 低 频 时R C的体 积
很 大 ,对 实现 系统 的微 型化 不 利 。随着 目前 直接
DAr r A
1 A0 R G D7 D6 D5 ]4 D3 D2 D1 X X NX X X X 3X X X
信 号 发 生 器 的 一 种 设 计 方 法 。 利 用 该 方 案 产 生 的 波 形 包括 正 弦 波 、 矩 形 波 、 三 角 波 。 而 且 频
率 可调 ,当选择 的 波形是 矩 形波和 三 角波 时 ,还 可调 节 占空 比 。因 而可满足 P WM波形控 制 的
需要
LED点阵屏 音频频谱显示
Abstract
This system reveals and the low consumption 8 CMOS little controller ATMEGA128 of enhanced AVR RISC structure on the basis of LED32 *80 double-colored lattice, reveal after realizing the signal frequency spectrum of audio frequency based on FFT. Adopt special-purpose to strain wave chip MAX262 carry on 4 steps resist, mix pile strain wave until AD sample while being programmable, then carry on AD at a high speed and sample with TLC5510, carry on the simple figure and strain the wave in the little controller, until Fu set up leaf vary, get audio frequency frequency spectrum of signal, realize the display at LED by the little controller finally fast. Keyword: LED、FFT、Filter、AD、Audio frequency.
摘
要
本系统基于 LED32*80 双色点阵显示和增强型的 AVR RISC 结构的低功耗 8 位 CMOS 微 控制器 ATMEGA128, 实现了基于 FFT 的音频信号频谱显示。 采用专用可编程滤波芯片 MAX262 在 AD 采样前进行 4 阶抗混叠滤波,然后以 TLC5510 进行高速 AD 采样,在微控制器中进行 简单的数字滤波,经快速傅立叶变换得到音频信号的频谱,最终由微控制器实现在 LED 上 的显示。 关键词:LED、FFT、滤波、AD、音频。
基于TLC5620信号发生器的设计与实现_郑润娜
微处理器应用 电 子 测 量 技 术 ELECT RONIC M EA SU REM ENT T ECH NOLOG Y第32卷第6期2009年6月 基于TLC5620信号发生器的设计与实现郑润娜1 胡建明2 侯丽娟2(1.天津市塘沽区中等专业学校 天津 300454;2.天津工程师范学院 天津 300222)摘 要:分析了TI公司的4路串行8位数/模转换器T LC5620的功能、特点、工作原理,提出了一种以芯片T LC5620和EPM3128为核心设计低频函数信号发生器的方法,给出了具体的硬件连接框图和软件设计流程图。
利用该设计能产生正弦波、三角波、矩形波等,而且频率和占空比可调,频率控制档位数与占空比大小可以根据自己需要而设定,用EPM3128对键盘进行控制扫描,通过LED数码管显示出信号频率。
本设计产生的信号频率在20~1000H z,电路设计简单,可靠性高,使用灵活,具有很强的实用性,可以在教学与科研等很多场合应用。
关键词:T LC5620;信号发生器;D/A中图分类号:T M02 文献标识码:ADesign&realization of sign generater based on TLC5620Zheng Runna1 H u Jia nming2 Hou Lijuan2(1.Tan ggu S econdary Professional Sch ool,Tianjin300454;2.Tianjin University of Tech nology and Education,Tianjin300222)Abstract:A naly sis of T I s8-digit se rial4-channel D/A conver ter T L C5620functions,features,w orking principle,put fo rwa rd a T LC5620and EP M3128chip design at the co re of low-frequency sig nal generato r function me tho d,are given specific Co nnectio n diag ram of the hardw are and sof tw are desig n flo w char t.U se o f the de sig n can produce sine w ave, triang le w ave,rectangula r wav e,etc.,and adjustable frequency and duty cy cle,frequency and duty cy cle to contr ol the size of the number of stalls can be set acco rding to their ow n needs,and EP M3128co nt rol of the keyboa rd scanning, thro ug h the LED digital tube sho ws tha t the signal frequency.T he desig n of the sig nal frequency o f20~1000H z, simple circuit desig n,high reliability and flexible,and hig hly practical,in teaching and scientific research applicatio ns, and many o the r occasions.Keywords:T LC5620;sing nal genera te r;D/A0 引 言函数信号发生器[1]是实验室中常用的实验设备之一。
一种基于CPLD的宽可调PWM信号发生器
一种基于CPLD的宽可调PWM信号发生器
熊承义;孙奉娄
【期刊名称】《中南民族大学学报(自然科学版)》
【年(卷),期】2001(020)003
【摘要】介绍了自行研制的利用基于复杂可编程逻辑器件(CPLD),实现的一种频率宽可调、高频调制的PWM信号发生器.该PWM信号的频率在1~2kHz可调,并调制在3~100kHz任意可调的高频脉冲上;其死区时间可调,且实现了2路信号输出互锁.
【总页数】3页(P9-11)
【作者】熊承义;孙奉娄
【作者单位】中南民族学院电子信息工程学院;中南民族学院电子信息工程学院【正文语种】中文
【中图分类】TN787
【相关文献】
1.基于CPLD的软开关H桥PWM控制信号发生器设计 [J], 刘刚;白旭岐;乔鑫
2.基于CPLD和PWM技术的信号发生器设计 [J], 孟繁明
3.基于CPLD的数字PWM信号发生器的设计 [J], 滕旭东;王弘辉;傅友登
4.一种频率占空比独立可调的PWM信号发生器的设计与仿真 [J], 盛奋华
5.基于CPLD的PWM信号发生器设计 [J], 罗小巧;廖小芳
因版权原因,仅展示原文概要,查看原文内容请购买。
毕业设计基于maxii系列cpld的微波炉控制器芯片设计
毕业设计基于maxii系列cpld的微波炉控制器芯片设计浙江科技学院嵌入式系统(EDA技术)课题名称院、系专业班级:姓名:学号:指导教师:课程设计报告书基于MAX II系列CPLD的微波炉控制器芯片设计自动化与电气工程学院建筑电气智能化::完成日期: 2021年12月17日目录第一章绪论 ........................................................................... ....... 1 第二章设计目的 ...........................................................................2 第三章设计要求 (3)3.1 微波炉的功能要求 ............................................................. 3 3.2设计步骤 ............................................................................3 第四章设计思想 (4)4.1微波炉定时器的工作过程 ................................................... 4 4.2根据系统的基本要求 .......................................................... 4 第五章设计内容 (5)5.1状态控制电路模块 ........................................................ 8 5.2数据装载电路模块 ........................................................ 9 5.3计时器模块 ................................................................ 10 5.4状态控制源程序 ......................................................... 13 5.5控制器仿真及分析 (24)设计总结 ........................................................................... ........... 27 感言 ........................................................................... ................ 28 参考文献 ........................................................................... .. (30)I第一章绪论随着人们生活水平的提高和信息化的发展,家用电器层出不穷,各种功能也越来越完善,其中微波炉是现代家庭的必备产品已经成为人们日用生活中的必不可少的厨房电器,大大降低了家庭妇女的工作量和工作强度,它的质量和性能的高低,将会极大的影响人们的生活水平和质量。
C波段高功率超大脉宽固态发射机系统的研制
犜 犺 犲犇 犲 狊 犻 狀狅 犳犪犆-犅 犪 狀 犱犛 狅 犾 犻 犱 -犛 狋 犪 狋 犲犜 狉 犪 狀 狊 犿 犻 狋 狋 犲 狉犠 犻 狋 犺 犵 -狆 狅 狑 犲 狉犪 狀 犱犛 狌 犲 狉犔 犪 犲 狉犘 狌 犾 狊 犲 -狑 犻 犱 狋 犺 犎 犻 犺 狆 犵 犵
, , 犔 狌 狅犣 犺 狅 狀 - 狊 犺 犲 狀 犣 犪 狀 犻 狀 -犿 犲 犻 犣 犺 犪 狀 犻 - 犻 狀 犵 犵 犵犡 犵犔 狇
供电方案。 漏极电源主要参数如下: 输出电压和脉冲电流: 1 0 5 犞± 0 5 犞, 1 2 0 犃 栅极电源主要参数如下: 输出电压和脉冲电流: 5 犞, 0 5 犃 栅极电源采用 犃 犆/ 犇 犆 电路、 犇 犆/ 犇 犆 模 块和三 端 线性稳压电路, 同时采用较大容量的输出滤波和储能 电容及较大阻值的输出放电电阻, 以确保发射机微波 输出脉冲包络有较低的顶降; 确保发射机突然断电时, 微波功率放大器放大管栅极负偏置电压下降速度远低 于漏极电压下降速度, 不使放大管由于栅极负偏置电 压降低过快而被漏极电源输出滤波和储能电容的储存 能量烧毁( 栅极负偏置电压关机下降时间试验确定为 ) 。 约4 0 0 犿 狊 漏极电源采用 犘 犉 犆 电 路和脉 冲式 犇 犆/ 犇 犆 模块, 同时采用一定容量的输出滤波和储能电容及一定阻值 的输出放电电阻, 以确保发射机系统有较高的功率因 数, 确保发射机微波输出脉冲包络有较低的顶降, 确保 发射机突然断电时, 微波功率放大器放大管漏极电压 下降速度远高于栅极负偏置电压下降速度, 不使放大 管由于漏极电压降低过慢而被漏极电源输出滤波和储 能电容的储存能量烧毁( 漏极电压关机下降时间试验 确定为约2 ) 。 0 0 犿 狊 栅极电源和漏极电源开机时均设有慢启动电路, 以免开机时产生大的电流冲击。栅极电源开机慢启动 时间设定为数百毫秒以内, 漏极电源开机慢启动时间 设定为秒级以上, 以免栅极电源和漏极电源同时开机 时( 电源自检时, 可能因电网电压突 然波 动出 现该情 况) , 由于负偏置电压较低造成功率放大管损坏。 栅极电源和漏极电源开关机均设有“ 本机 / 关机 / 遥控” 三种状态, 通过双刀双掷纽子开关实现, 同时通 过双刀双掷纽子开关给出开关“ 遥控” 状态位置常闭信
一种宽带信号发生器的设计与实现
(c o l f u ma o ,U S C,C e g u ih a 0 4 hn ) S h o o t t n E T A o i h n d c u n6 0 5 ,C ia S 1
Ab ta t sr c :A r a - n i n lg n r t ri e i n d wih a h g - ro m a c b o d ba d sg a e e a o sd sg e t ih pe r n e DDS c i f h p AD9 5 .A 88 c o k ic i f 1 GHz s i lm e e y sn h l c c i LMX2 31 An he h g e r o i l c cr u t o i mp e ntd b u i g t e c o k hp 5 . d t i h r ham n c c mpo e ti fe tv l e ta n d byut i i gfhe .I r e oe h n et u p td i ec p b lt n o n n se c iey r sr i e i zn l i r s no d rt n a c heo t u rv a a iiy a d ma e i a y t e p o r mm e t e s se h s a p i d s v r lh g - ro a c p r to a mp i e s k te s o b r g a d, h y tm a p le e e a i h pef r n e o e ai n a lf r . m l i Th o e s se ma e s fARM7 c i PC21 2f rc n r l c r u t Th sp p rma n y e p ta e h e wh l y t m k su eo hp L 3 o o to- ic i. i a e i l x a itst e c o k ic i, le n o to —cr ui. lc —cr u t f t r a d c n r l ic t i s Ke r s AD98 8; co k ic i f1GH z fle ; pr g a y wo d : 5 l c -cr u t o ; i tr s o r mme d; c nr l c r u t o to - ic i
采用TLC5620设计各种波形发生器
采用DA设计各种波形发生器导师:欧阳斌林班级:电信1001组员:***A07100205张甲林A07100206日期:2013年6月18日摘要本次D/A转换器设计以单片机AT89S52为主控制器,实现了波形的产生,频率的选择以及波形的选择等功能。
本设计的主要功能是用单片机产生三角波,正弦波等波形,然后通过开关按键选择不同的频率和波形输出。
本设计采用常用的D/A转换器件TLC5620来使单片机输出的数字信号转换为模拟信号,然后再通过运算放大器把D/A输出的电流信号转换为电压信号输出,这样以便用示波器对波形信号进行测量。
这样就完成了D/A转换器的核心部分的设计。
关键词:单片机 TLC5620 三角波正弦波梯形波目录第一章引言 (4)1.1系统背景介绍 (4)1.2D/A转换器的工作原理 (5)第二章系统概述 (5)2.1单片机概述 (5)2.1.1单片机的选择 (5)2.2D/A概述 (7)2.2.1 D/A转换技术指标 (7)2.2.2 TLC5620简介: (8)第三章电路设计 (12)3.1波形发生器的设计电路原理图 (12)3.2D/A转换器总流程图 (13)第四章波形发生器程序设计 (13)第五章系统调试 (19)5.1静态调试 (19)5.2动态调试 (19)第六章总结与结束语 (20)6.1实验结果 (20)6.2设计历程与体会 (23)采用DA设计各种波形发生器第一章引言1.1系统背景介绍D/A转换器,作为实验用的模拟信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。
目前,市场上常见的D/A转换器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。
当今是科学技术及仪器设备高度智能化飞速发展的信息社会,电子技术的进步,给人们带来了根本性的转变。
现代电子领域中,单片机的应用正在不断的走向深入,这必将导致传统控制与检测技术的日益革新。
单片机构成的仪器具有高可靠性、高性能价格比,在智能仪表系统和办公自动化等诸多领域得以极为广泛的应用,并走入家庭,从洗衣机、微波炉到音响汽车,处处可见其应用。
一种新型信号发生器的设计与实现
维普资讯
第3 3卷第 2期
20 07年 2月
电 字 工 皇 ■
E Cr LE RON C E I NGI EE N R
Vo. 3 No 2 13 . Fb 0r e .2 0 7
一
种 新 型 信 号发 生器 的设 计 与实 现
彭善琼 , 丁长 松
号 , 置 了有 限缓 冲存储 器 , 设 因此 系统 的工作 模式 设计
信号 , 如此周而复始, 实现预定波形监视和显示功能。
2 硬件设计
硬件总体设计框图见图 2 。设计 中主要有两个限 制 因素: 器件 内部资源和 IO 口数 目。本着降低成本 / 和简化电路的原则 , 经反复试验 和仿 真 , 确定 由一片 7 F4 4 2 5和一 片 i LI0 6实 现 整 个 系 统 的逻 辑 电 路 s S11 p
( 吉首 大 学物 理科 学 与信 息. ̄ 学 院 , 南省 吉首 市 4 60 ) Y - - 湖 10 0
DAC芯片TLC5620-verilog代码
DAC芯片TLC5620-verilog代码DAC芯片TLC5620 Verilog代码TLC5620是TI公司的DA转换芯片,下面的代码实现的简单的DA转换功能。
说明:数码管1显示通道,数码管2显示RNG值,数码管3和4显示CODE值。
按键1切换通道,按键2改变RNG值(0或1),按键3改变CODE值,按键4未使用。
/**************************************************************************************************** DAC11位数据输入说明:Bit[10:9] 通道选择00:CHA; 01:CHB; 10:CHC; 11:CHD Bit[8] RNG 0:参考电压到地1:两倍参考电压到地Bit[7:0] DAC转换代码,范围0~255.输出电压Vo=REF*(CODE/256)*(1+RNG)**************************************************************************************************/module dac(clk,rst_n,dac_clk,dac_data,dac_load,sw1_n,sw2_n,sw3_n,sw4_n,digit_o,cs);input clk; // 输入时钟50MHzinput rst_n; // 复位input sw1_n,sw2_n,sw3_n,sw4_n; // 按键output dac_clk; // DAC时钟MAX=1MHzoutput dac_data; // DAC数据输出output dac_load; // 加载信号// 电路图中LDAC已经接地,因此略去output [7:0] digit_o; // 数码管输出output [3:0] cs; // 数码管片选parameter DAC_Idle = 3'b001, // 状态参数DAC_Send = 3'b010,DAC_Store = 3'b100;//====================================================================== ===========================parameter // 字模MSK_0 = 8'hC0, // '0'MSK_1 = 8'hF9, // '1'MSK_2 = 8'hA4, // '2'MSK_3 = 8'hB0, // '3'MSK_4 = 8'h99, // '4'MSK_5 = 8'h92, // '5'MSK_6 = 8'h82, // '6'MSK_7 = 8'hF8, // '7'MSK_8 = 8'h80, // '8'MSK_9 = 8'h90, // '9'MSK_A = 8'h88, // 'A'MSK_B = 8'h83, // 'B'MSK_C = 8'hC6, // 'C'MSK_D = 8'hA1, // 'D'MSK_E = 8'h86, // 'E'MSK_F = 8'h8E; // 'F'//====================================================================== ===========================/**************************************************************************************************** 按键部分**************************************************************************************************/reg [3:0] key_rst; // 保存按键前一个状态always @(posedge clk or negedge rst_n)if (!rst_n)key_rst <= 4'b1111;else key_rst <= {sw4_n,sw3_n,sw2_n,sw1_n};reg[3:0] key_rst_r; // 保存按键下一个状态always @(posedge clk or negedge rst_n)if (!rst_n)key_rst_r <= 4'b1111;else key_rst_r <= key_rst;wire[3:0] key_val = key_rst_r & (~key_rst); // 检测是否有1到0跳变reg[19:0] cnt; // 计数器always @(posedge clk or negedge rst_n)if (!rst_n)cnt <= 20'd0;else if (key_val) // 有按键按下(由1变为0)计数器开始计时20ms cnt <= 20'd0;elsecnt <= cnt + 1'b1;// 去抖动后的按键检测,仍然用两级寄存器reg[3:0] low_sw;always @(posedge clk or negedge rst_n)if (!rst_n)low_sw <= 4'b1111;else if (cnt == 20'hfffff) // 20ms后的按键状态锁存到low_sw中low_sw <= {sw4_n,sw3_n,sw2_n,sw1_n};reg[3:0] low_sw_r;always @(posedge clk or negedge rst_n)if (!rst_n)low_sw_r <= 4'b1111;elselow_sw_r <= low_sw;wire[3:0] led_ctrl = low_sw_r & (~low_sw);reg [10:0] rData;always @(posedge clk or negedge rst_n)if (!rst_n)rData <= 11'd255;else beginif (led_ctrl[0] == 1) // S1键按下rData[10:9] <= rData[10:9] + 1'b1; // 通道切换if (led_ctrl[1] == 1) // S2键按下rData[8] <= ~rData[8]; // RNG位变化if (led_ctrl[2] == 1) // S3键按下rData[7:0] <= rData[7:0] + 8'd8; // CODE变化end/*************************************************************************************************//************************************************************************************************** ** DAC控制部分**************************************************************************************************/ reg [5:0] div_cnt; // 分频计数器64分频reg div_clk; // 分频时钟注意不是DAC输入时钟always @(posedge clk or negedge rst_n)if (!rst_n) begindiv_cnt <= 6'd0;div_clk <= 1'bz;endelse begindiv_cnt <= div_cnt + 1'b1;if (div_cnt == 6'd63)div_clk <= 1'b1;elsediv_clk <= 1'b0;end/*************************************************************************************************/ reg [2:0] current_state,next_state;reg rDac_load;reg bit_cnt_rst; // 位计数器复位信号wire dat_send_done;always @(posedge clk or negedge rst_n) // 时序进程if (!rst_n)current_state <= DAC_Idle;elsecurrent_state <= next_state;always @(current_state or div_clk or dat_send_done) begin // 组合进程rDac_load <= 1'b1;bit_cnt_rst <= 1'b0;next_state <= DAC_Idle;case (current_state)DAC_Idle: beginbit_cnt_rst <= 1'b1;next_state <= DAC_Send; // 空闲时直接进入send状态endDAC_Send: beginif (dat_send_done) // 数据发送完成next_state <= DAC_Store;elsenext_state <= DAC_Send;endDAC_Store: beginbit_cnt_rst <= 1'b1;rDac_load <= 1'b0; // LOAD变低进行锁存if (div_clk)next_state <= DAC_Idle;elsenext_state <= DAC_Store;endendcaseend/*************************************************************************************************/ reg [4:0] bit_cnt; // 位计数器对div_clk计数always @(posedge clk or negedge rst_n) beginif (!rst_n)bit_cnt <= 5'd0;else if (bit_cnt_rst)bit_cnt <= 5'd0;else if (div_clk)bit_cnt <= bit_cnt + 1'b1;endassign dat_send_done = (bit_cnt == 5'd24);/*************************************************************************************************/ reg rDac_data;always @(bit_cnt[4:1] or rData) begincase (bit_cnt[4:1]) // 从高到低发送11位数据4'd1 : rDac_data <= rData[10];4'd2 : rDac_data <= rData[9];4'd3 : rDac_data <= rData[8];4'd4 : rDac_data <= rData[7];4'd5 : rDac_data <= rData[6];4'd6 : rDac_data <= rData[5];4'd7 : rDac_data <= rData[4];4'd8 : rDac_data <= rData[3];4'd9 : rDac_data <= rData[2];4'd10: rDac_data <= rData[1];4'd11: rDac_data <= rData[0];default : rDac_data <= 1'b0;endcaseend/*************************************************************************************************/ reg rDac_clk;always @(bit_cnt) begin // DAC_CLK输出时钟的产生period=2560nsif ((bit_cnt >= 2) && (bit_cnt <24))rDac_clk <= ~bit_cnt[0]; // 在时钟下降沿数据要有效elserDac_clk <= 1'b0;end/*************************************************************************************************/ assign dac_clk = rDac_clk;assign dac_data = rDac_data;assign dac_load = rDac_load;/**************************************************************************************************//************************************************************************************************** ** 数码管显示部分** 说明:数码管1显示通道数码管2显示RNG值** 数码管3和4显示CODE值**************************************************************************************************/ reg [3:0] cs; // 片选信号reg [16:0] cnt2; // 计数寄存器2,确定扫描间隔reg [3:0] submsk; // 保存要显示的数据always @(posedge clk or negedge rst_n)if (!rst_n)begincnt2 <= 17'd0; // 计数器2置零cs <= 4'b0111;endelse begincnt2 <= cnt2 + 1'b1; // 计数器2开始计数if (cnt2 == 17'd0) // 溢出了,又从0开始beginif (cs == 4'b0111)begincs <= 4'b1110; // 选择第四个数码管submsk <= rData[3:0]; // 显示CODE低4位endelse if (cs == 4'b1110)begincs <= 4'b1101; // 选择第三个数码管submsk <= rData[7:4]; // 显示CODE高4位endelse if (cs == 4'b1101)begincs <= 4'b1011; // 选择第二个数码管submsk <= {3'b000,rData[8]}; // 显示RNG值endelse if (cs == 4'b1011)begincs <= 4'b0111; // 选择第一个数码管submsk <= {2'b00,rData[10:9]} + 1'b1; // 显示通道endendendreg [7:0] digit_o; // 数码管输出寄存器always @(submsk)case (submsk)4'h0: digit_o <= MSK_0;4'h1: digit_o <= MSK_1;4'h2: digit_o <= MSK_2;4'h3: digit_o <= MSK_3;4'h4: digit_o <= MSK_4;4'h5: digit_o <= MSK_5;4'h6: digit_o <= MSK_6;4'h7: digit_o <= MSK_7;4'h8: digit_o <= MSK_8;4'h9: digit_o <= MSK_9;4'hA: digit_o <= MSK_A;4'hB: digit_o <= MSK_B;4'hC: digit_o <= MSK_C;4'hD: digit_o <= MSK_D;4'hE: digit_o <= MSK_E;4'hF: digit_o <= MSK_F;default: digit_o <= MSK_8;endcaseendmodule// End。
采用TLC5620设计各种波形发生器(DOC)
sbit juchi=P3^3;//按键
sbit tixing=P3^4;//按键
sbit Sinanjian=P3^5;//按键
uchar flag=0;
void sanjiaowave();
void juchiwave();
void tixingwave();
void SinWave();
/**************************************************************************************************
第二章 系统概述
2.1.1单片机的选择
随着微电子技术的飞速发展,CPU已经变成低成本器件。在可能的情况下,各种机电设备已经或者正在嵌入CPU构成的嵌入式系统。据Virginia Tech公司报告,嵌入式系统中所使用的CPU数量已经超过通用PC中CPU数量的30倍。 现在系统研究的重点已从通用系统转向专用系统,以及从一般性能转向可靠性、可用性、安全性、自主性、可扩展性、功能性、灵活性、成本、体积、功耗及可管理性上。
AT89C52有PDIP、PQFP/TQFP及PLCC等三种封装形式,以适应不同产品的需求。
主要功能特性:
·兼容MCS51指令系统· 8k可反复擦写(>1000次)FlashROM
· 32个双向I/O口· 256x8bit内部RAM
tlc5620
TLC5620C和TLC5620I是带有高阻抗缓冲输入的4通道8位电源输出数模转换器集合。
这些转换器可以产生单调的、1至两倍于基准电压和接地电压差值的输出。
通常情况下TLC5620的供电电压为一个5V电源。
器件内集成上电复位功能,确保启动时的环境是可重复的。
对TLC5620C和TLC5620I的数字控制是通过一根简单的3路串行总线实现的。
该总线兼容CMOS,并易于向所有的微处理器和微控制器设备提供接口。
11位的命令字包括8位数据位,2位DAC选择位和1位范围位,后者用来选择输出范围是1倍还是2倍。
DAC寄存器采用双缓存,允许一整套新值被写入设备中。
通过LDAC实现DAC输出值的同时更新。
数字量的输入采用史密斯触发器,从而避免了高噪声。
例程:周立功《ARM嵌入式系统实验教程》(三)中的代码:/******************************************************************** ********* 文件名:main.c* 功能:该实验程序使用TLC5620中A、B、C、D四个通道分别输出周期、幅度相等的* 锯齿波,频率为6.35Hz。
* 说明:跳线器JP1、JP2短接。
********************************************************************* *******/#include "config.h"#define DAC_RNG 1 // 幅值选择/* TLC5620由P0口控制,控制I/O定义如下 */#define CLK1 (1<<21)#define DAT1 (1<<22)#define LOAD (1<<23)#define LDAC (1<<24)#define DAC5620CON (CLK1 | DAT1 | LOAD | LDAC)/* I/O输出操作函数 */#define CCLK1() IO1SET = CLK1#define SCLK1() IO1CLR = CLK1#define CDAT1() IO1SET = DAT1#define SDAT1() IO1CLR = DAT1#define CLOAD() IO1SET = LOAD#define SLOAD() IO1CLR = LOAD#define CLDAC() IO1SET = LDAC#define SLDAC() IO1CLR = LDACvoid DAC5620_Data(uint8 no, uint8 dat);void PIN_Init(void);void Delay(void);int main(void){ uint8 i;PIN_Init(); // 初始化CPU的IO 口while(1) // 发生锯齿波{DAC5620_Data(1, i);DAC5620_Data(2, i);DAC5620_Data(3, i);DAC5620_Data(4, i);i++;}return(0);}/******************************************************************** ********* 名称:IRQ_Eint3()* 功能:外部中断EINT3服务函数,取反B1控制口。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
微处理器应用 电 子 测 量 技 术 ELECT RONIC M EA SU REM ENT T ECH NOLOG Y第32卷第6期2009年6月 基于TLC5620信号发生器的设计与实现郑润娜1 胡建明2 侯丽娟2(1.天津市塘沽区中等专业学校 天津 300454;2.天津工程师范学院 天津 300222)摘 要:分析了TI公司的4路串行8位数/模转换器T LC5620的功能、特点、工作原理,提出了一种以芯片T LC5620和EPM3128为核心设计低频函数信号发生器的方法,给出了具体的硬件连接框图和软件设计流程图。
利用该设计能产生正弦波、三角波、矩形波等,而且频率和占空比可调,频率控制档位数与占空比大小可以根据自己需要而设定,用EPM3128对键盘进行控制扫描,通过LED数码管显示出信号频率。
本设计产生的信号频率在20~1000H z,电路设计简单,可靠性高,使用灵活,具有很强的实用性,可以在教学与科研等很多场合应用。
关键词:T LC5620;信号发生器;D/A中图分类号:T M02 文献标识码:ADesign&realization of sign generater based on TLC5620Zheng Runna1 H u Jia nming2 Hou Lijuan2(1.Tan ggu S econdary Professional Sch ool,Tianjin300454;2.Tianjin University of Tech nology and Education,Tianjin300222)Abstract:A naly sis of T I s8-digit se rial4-channel D/A conver ter T L C5620functions,features,w orking principle,put fo rwa rd a T LC5620and EP M3128chip design at the co re of low-frequency sig nal generato r function me tho d,are given specific Co nnectio n diag ram of the hardw are and sof tw are desig n flo w char t.U se o f the de sig n can produce sine w ave, triang le w ave,rectangula r wav e,etc.,and adjustable frequency and duty cy cle,frequency and duty cy cle to contr ol the size of the number of stalls can be set acco rding to their ow n needs,and EP M3128co nt rol of the keyboa rd scanning, thro ug h the LED digital tube sho ws tha t the signal frequency.T he desig n of the sig nal frequency o f20~1000H z, simple circuit desig n,high reliability and flexible,and hig hly practical,in teaching and scientific research applicatio ns, and many o the r occasions.Keywords:T LC5620;sing nal genera te r;D/A0 引 言函数信号发生器[1]是实验室中常用的实验设备之一。
随着设备的迅速发展,其性能,工作稳定性等越来越受到人们的重视。
通常所用的模拟方法RC振荡器或555定时器实现频率的连续可调,再经过多种波形变换来得到各种所需要的波形,这种方法得到的信号频率往往不太稳定,而且对于小频率来说RC的体积太大,不适应当代的微型化设计。
如果利用数字频率合成技术会提高信号的稳定性,但芯片较贵,而且与硬件连接复杂,并会占用较多的端口资源。
TI公司的串行数模转换芯片TLC5620采用单电源供电、功耗低、转换速度快。
因此,本文设计一种利用EPM3128的CPLD来控制T LC5620芯片以产生各种函数波形的方法,可设计出具有稳定性高的正弦波、三角波、矩形波等。
且频率和占空比可调。
1 系统框图系统主要由CPLD控制电路、键盘输入电路、TLC5620输出电路、LED显示等部分组成[2]。
通过键盘设置输出的波形和频率,C PLD经过计算与处理控制D/A芯片TLC5620输出波形,并在数码管上显示。
系统的结构框图如图1所示。
图1 系统框图·102· 郑润娜等:基于TLC5620信号发生器的设计与实现第6期2 硬件电路[3]2.1 TLC5620性能分析T LC 5620是TI 公司推出的具有高阻抗基准输入的4路串行8位电压输出型数/模转换芯片,建立时间为10μs ,每一路均具有两级缓冲器,即输入锁存器和DAC 锁存器,一个输出量程开关、一个8位DAC 电路以及一个电压输出电路,输出电压的计算公式为:U 0=U ref (D /256)(1+RN G )式中:D =0~255表示需要转换的数字量,RNG 等于0或1表示量程切换。
当负载电阻大于10k Ψ时,具有很好的恒压作用。
该芯片采用简单4线串行总线接口方式,因而与各种处理器和微控制器的接口十分简单。
且数模转换后的电压幅度可编程调节。
图2所示是TLC5620的工作时序图。
图2 T LC5620的工作时序图2.2 TLC5620与CPLD 的连接T LC 5620兼容CMOS 电平,易于和工业标准的微处理器或可编程逻辑器件C PLD 接口,连接方法简便。
适用于可编程电压源、数字控制放大器/衰减器、信号合成、移动通信、自动检测装置以及工程监视和控制等工业控制场合,图3为T LC5620与CPLD [4]的连接。
图3 T LC5620与CP LD 的连接采用EPM3128的67、68、69、70分别接TLC5620的DA TA 、C LK 、LDA C 、LOA D ,以驱动T LC5620工作系统内核的CPLD ,采用Verilog H DL 编程[5]。
2.3 键盘与LED 显示[6]用EPM 3128对键盘进行扫描,选择5键盘,即选择波形,调占空比,调频率,另外有增加与减少键。
由于CPLD 端口资源充足,采用独立式键盘连接,其优点为简化硬件设计与软件编程,键盘分别对应EPM3128的PIN 13、PIN 14、PIN 15、PIN 16、PIN 17、PIN 18。
通过4个LED 数码管显示波形的频率,采用动态扫描,节省I /O 空间,EPM 3128的PIN 71、PIN 72、PIN 75、PIN 76、和LED 数码管的A 、B 、C 、D 相连。
3 软件流程[7]3.1 T LC5620驱动程序参照图2TLC5620的工作时序,编写其驱动程序。
先设置A0和A 1选择D /A 的输出通道,设置方式如图4所示。
再设置RNG 位,每一个DAC 的输出由1个可控增益放大器缓冲,它可以被配置为×1或×2的增益,RNG =0为×1的增益,RNG =1为×2的增益。
最后送入8位的D /A 数据。
其流程图如图5所示。
·103· 第32卷电 子 测 量 技 术3.2 主流程图由于数码管采用动态扫描,因此程序要不断刷新显示频率[8]。
主程序中,需不断检测按键是否按下。
其中对于波形按键的动作,C PLD不需要检测释放动作,在波形选择中不会出现重复扫描而影响结果。
但要实时检测键盘是否有设置输入,并根据键值设置相关参数。
其流程图如图6所示。
4 测试结果分析表1和表2给出了部分输出波形参数的测量值与误差。
从测试数据可以看出,该信号信号发生器输出波形稳定,误差较小。
TLC5620的性能决定了本设计产生的频率不是很高,经实验频率范围在20~1000H z。
实际应用时,占空比与频率控制档位数可以根据需要而设定。
表1 信号电压幅值/V步序理论值测试值误差143.990.0124.24.180.0234.44.41-0.0144.64.580.02表2 20~1kHz频率测试值/Hz步序理论值测试值误差15052-22100102-23500503-34800804-45 结 论本文在分析T LC5620芯片功能的基础上,提出了一种基于TLC5620和EPM3128设计的低频函数信号发生器。
该设计能产生正弦波、三角波、矩形波等,而且频率和占空比可调。
本设计产生的信号频率在20~1000H z,信号稳定,可靠性高,使用灵活,具有很强的实用性,完全可以应用于教学与科研。
参考文献[1] 黎国栋.信号发生器专业基础知识问答[J].上海计量测试,2004(5):72-74.[2] 景志林.基于I nfineon单片机的数字化智能充电器设计[J].电子测量技术,2007,30(10):89-92.[3] 李广弟,朱月秀,冷祖祁.单片机基础[M].北京:北京航空航天大学出版社,2007.[4] 罗朝霞,高树莉.CPL D/F PG A设计及应用[M].北京:人民邮电出版社,2007.[5] 王开军,姜宇柏..面向CP LD/FP GA的V H DL设计[M].北京:机械工业出版社,2007.[6] 中海电子显示技术有限公司.点阵型液晶显示模块使用手册[M].[7] 戚勇等,数字式低频信号发生器的软件设计[J].山东理工大学学报,2007(2):79-83.[8] 卢飞跃,王淑波.跳频通信[M].北京:国防工业出版社,2005:8-22.作者简介郑润娜,女,1982年3月出生,辽宁人,天津工程师范学院在职研究生,现任塘沽中专教师。
主要研究方向是电子电路与无线电通信。
E-mail:rnhappy@·104·。