仪器科学与动态测试作业模板六

合集下载

最新仪器分析经典实验及练习题 超实用

最新仪器分析经典实验及练习题 超实用

实验报告要求包括以下内容:一、实验目的二、实验原理三、实验用品及仪器四、实验步骤五、实验结果分析实验一:紫外可见分光光度计测量高锰酸钾溶液1、KMnO4储备液配制称取1.6g高锰酸钾固体,置于烧杯中溶解,1000ml的容量瓶中定容,浓度约为0.01mol•L-1。

2、KMnO4标液配置用吸量管移取上述高锰酸钾溶液1.0、2.0、3.0mL,分别放入三个50mL容量瓶中,加水稀释至刻度,充分摇匀,各溶液KMnO4浓度分别为0.2、0.4、0.6mmol/L。

(教师取xmL放入50mL容量瓶,定容,供学生测定浓度xmmol/L)3、KMnO4溶液的绘制分子吸收光谱(1)将配制好的各浓度的KMnO4溶液,用1cm比色皿,以蒸馏水为参比溶液(注意:测定吸收曲线时,每次改变波长后都要用参比液调T为0,及100)在440~580nm波长范围内,每隔10nm测一次吸光度,在最大吸收波长附近,每隔5nm测一次吸光度(440,450,……515,520,525,530,535……570,580)。

0.2 mmol/L(3)在坐标纸上,以波长λ为横坐标,吸光度A为纵坐标,绘制A和λ关系的吸收曲线。

从吸收曲线上选择最大吸收波长λmax,并观察不同浓度KMnO4溶液的λmax和吸收曲线的变化规律。

(4)吸收曲线的制作1)在最大吸收峰处(λmax),测定0.2、0.4、0.6mmol/L的吸光度,绘制浓度与吸光度的吸收曲线。

2)测定未知浓度液体的吸光度值,通过工作线查出浓度值。

实训项目二:邻二氮菲分光光度法测定微量铁实训目的:1、掌握用邻二氮菲显色法测定铁的原理及方法。

2、学习吸收曲线和工作曲线的绘制,掌握适宜测量波长的选择。

3、学习分光光度计的使用方法。

一、原理1、邻二氮菲(phen)和Fe2+在pH3~9的溶液中,生成一种稳定的橙红色络合物Fe(phen)32+,铁含量在0.1~6μg·mL-1范围内遵守比尔定律。

仪器科学与动态测试作业

仪器科学与动态测试作业

目录1 绪论 (1)1.1课题背景和意义 (1)1.2 本文研究的主要内容 (2)1.3本文的创新之处 (2)2无线红外测温系统的设计 (3)2.1系统硬件结构 (3)2.2红外测温传感器模块 (3)2.2.1红外测温传感器模块的选择与介绍 (4)2.2.2红外测温模块内部温度数据信号处理 (4)2.2.3红外测温传感器的电路 (5)2.3超声波测距模块 (5)2.3.1超声波测距工作原理及其模块 (6)2.4信息处理模块 (8)2.4.1信息处理芯片简介 (8)2.5无线传输模块 (9)2.5.1无线wifi模块简介 (9)2.5.2无线wifi模块特点及电路 (10)2.5.3无线传输模块通讯设计 (11)3总结 (12)无线红外人体测温系统1 绪论1.1课题背景和意义红外测量为测量人体温度提供了快速,非接触测量手段,可广泛的应用于密集型人体体温测量。

随着医疗技术的快速发展,人们对非接触、快速高效测温技术的需求越来越大,然而,由于医用红外测温仪的特殊要求,直到1989年热释电耳道式测温仪才成功的用于体温测量,1991年以后该产品开始欧美市场推广应用。

近年来出现的智能红外测温计的设计,其内容涉及电子技术、检测技术、单片机技术等多方面内容。

随着红外测温技术的普遍应用,一种新型的红外技术——智能数字红外传感技术正在悄然兴起。

这种智能传感器内置微处理器,能够实现传感器与控制单元的双向通信,具有非接触、小型化、数字通信、维护简单、寿命长等优点。

红外测温技术是一门很实用和前沿的技术。

红外测温仪是一种将红外技术与微电子技术结合起来的一种新型测温仪器,它通过将被测物表面发射的红外波段辐射能量通过光学系统汇聚到红外探测器件上,使其产生一个电压信号,该信号经过放大、滤波、模/数转换后送到微控制器中进行温度补偿与数据处理,最后将目标温度值以显示出来。

然而,红外测温技术的大众化普遍推广应用,还存在不少技术瓶颈,如成本高精准度底、被测对象和环境适应性、群体测量的快捷、方便和连续性,与互联网络和终端的互联互通,远程、多点测量数据同步与通讯,测温数据的智能处理、分析与统计等等问题。

仪器仪表模拟题(附参考答案)

仪器仪表模拟题(附参考答案)

仪器仪表模拟题(附参考答案)一、单选题(共52题,每题1分,共52分)1.欲将方波电压转换成三角波电压,应选用()。

A、积分运算电路B、微分运算电路C、反相比例J运算电路D、加法运算电路正确答案:A2.飞行检查时,多旋翼电机产生振动,在排除电机本身故障后,检查动力电路。

我们应检查电调的()。

A、耦合端B、输出端C、输入端D、不用检查正确答案:B3.多旋翼无人机的遥控器最少有()通道。

A、5个B、2个C、3个D、4个正确答案:D4.下面哪种传感器不属于触觉传感器()。

A、接触觉传感器B、热敏电阻C、接近觉传感器D、压觉传感器正确答案:B5.下列关于信息的说法错误的是()。

A、信息是数据的含义B、信息是抽象的C、同一信息可有多种数据表示形式D、数据库中保存的就是信息正确答案:D6.变压器降压使用时,能输出较大的()。

B、电能C、电流D、电功正确答案:C7.在工业机器人系统中,()相当于机器人的“大脑”。

A、驱动系统B、控制系统C、示教器D、机械结构正确答案:B8.根据投影面展开的法则,三个视图的相互位置必然是以()为主。

A、主视图B、仰视图C、俯视图D、左视图正确答案:A9.多旋翼无人机用电调一般有三组线,连接三种设备,这三种设备是()。

A、接收机、电机、舵机B、电机、飞控、舵机C、电机、分电板、飞控D、电机、机架、螺旋浆正确答案:C10.遥控器的常用模式有()、日本手。

A、美国手B、德国手C、中国手D、英国手正确答案:A11.下列结构中不属于FIR滤波器基本结构的是()。

A、横截型B、并联型C、频率抽样型正确答案:B12.在关节坐标系中,工业机器人的位置和姿态以()为基准。

A、笛卡尔坐标系B、工件坐标系C、各个关节底座侧的原点角度D、工具坐标系正确答案:C13.机器人的()是指单关节速度。

A、工作速度B、最小运动速度C、运动速度D、最大运动速度正确答案:C14.()实际是通过基础坐标系将轴向偏转角度变化而来。

仪器仪表考试模拟题及答案

仪器仪表考试模拟题及答案

仪器仪表考试模拟题及答案一、单选题(共52题,每题1分,共52分)1.加速度计校准中,提示()时,以箭头所指方向指向地面,立起来放置。

A、Place vehicle level and press any keyB、Place vehicle on its LEFT side and press any keyC、Place vehicle on its RIGHT side and press any keyD、Place vehicle nose DOWN and press any key正确答案:D2.如果您是日常保养机器人的话,只需要检查()。

A、都是B、噪音、震动和马达温度正常否C、每根轴的抱闸是否正常D、周边设备是否正常正确答案:A3.描述简单对象特性的参数不包括()。

A、放大系数B、时间常数C、滞后时间D、震荡周期正确答案:D4.常见机器人手部分为()三类。

A、机械式夹持器、吸附式执行器、专用工具B、磁吸式执行器、气吸式执行器、专用工具C、电磁式夹持器、吸附式执行器、通用工具D、通用工具、吸附工具、专用工具正确答案:A5.在工业机器人速度分析和以后的静力学分析中都将遇到类似的雅可比矩阵,我们称之为工业机器人雅可比矩阵,或简称雅可比,一般用符号()表示。

A、FB、LC、PD、J正确答案:D6.螺旋桨的形状常常比较奇怪,比如中间粗两头细、翼根的弯曲度大翼尖的弯曲度小,其原因是( )。

A、方便桨叶的制作和加工B、提高桨的拉力C、在角速度相同线速度不同的情况下,保持桨各处的升力相同D、提高桨的强度,延长其使用寿命正确答案:C7.机器人I/O盒更换输出模块时,要在()情况下进行。

A、输出开路状态下B、短路状态下C、断电状态下D、以上都是正确答案:C8.()是施工的依据,也是交工验收的依据,还是工程预算和结算的依据。

A、施工图B、施工方案C、施工准备D、图纸正确答案:A9.关节坐标系中的数值即为()的角度值。

《仪器解析总结模板计划模板》的试卷习题.doc

《仪器解析总结模板计划模板》的试卷习题.doc

一、选择题(共30 分,每小题 2 分)1. 下列化合物中哪个吸收峰的频率最高( )O(1) R C RA( 2) C RBO(3)CCO(4) F C RDO2. 由原子无规则的热运动所产生的谱线变宽称为:( )A 自然变度B 斯塔克变宽C 劳伦茨变宽D 多普勒变宽3. 紫外吸收分析实验时,在310nm时,如果溶液百分透射比是90%,在这一波长时的吸是( )A . 1 B. 0.1 C. D.4. 紫外 - 可见吸收光谱涉及的能级是( )A 分子的振动能级B 分子的转动能级C 分子的电子能级D 核能5. 在红外光谱分析中,用KBr 制作为试样池,这是因为:( )A KBr 晶体在 4000 ~400cm-1范围内不会散射红外光B KBr 在 4000 ~ 400 cm -1范围内有良好的红外光吸收特性C KBr 在 4000 ~ 400 cm -1范围内无红外光吸收D在 4000 ~400 cm -1范围内, KBr 对红外无反射6.核磁共振波谱法 , 从广义上说也是吸收光谱法的一种, 但它同通常的吸收光谱法 ( 如紫外、可见和红外吸收光谱 ) 不同之处在于( )A. 必须有一定频率的电磁辐射照射 B . 试样放在强磁场中 C.有信号检测仪 D. 有记录仪7.采用调制的空心阴极要是为了( )A. 延长灯寿命B. 克服火焰中的干扰谱线C.防止光源谱线变宽D.扣除背景吸收8.分析甜菜萃取液中痕量的含氯农药宜采用( )A. 热导池检测器B.氢火焰离子化检测器C. 电子捕获检测器D.火焰离子化检测器9. 离子选择电极的电位选择性系数可用于( )A. 估计电极的检测限B. 估计共存离子的干扰程度C. 校正方法误差⋯ .D. 计算电极的响应斜率10. 用红外吸收光谱法测定有机物结构时, 试样应该是( )A. 单质B. 纯物质C.混合物D. 任何试样11. 下列因素中与摩尔吸光系数有关的是( )A. 吸光度B. 吸收池厚度C. 入射光波长D. 浓度12. 载体填充的均匀程度主要影响( )A. 涡流扩散B. 分子扩散C. 气相传质阻力D. 液相传质阻力13. 不同类型的有机化合物 , 在极性吸附剂上的保留顺序是( )A: 饱和烃、烯烃、芳烃、醚B: 醚、烯烃、芳烃、饱和烃C: 烯烃、醚、饱和烃、芳烃D: 醚、芳烃、烯烃、饱和烃14. 衡量色谱柱选择性的指标是( )A. 理论塔板数B. 容量因子C. 相对保留值D. 分配系数15. 在丁烷的质谱图中,M 对(M+1)的比例是( )A. 100:B. 100:C. 100:D. 100:二、填空题(共20 分,每空格 1分)1. 朗伯 - 比尔定律的积分表达式是: lg (I 0/I) = bc ,在实际测定工作中,I 0是, I是。

仪器分析试卷模板-A

仪器分析试卷模板-A

一、选择题(每题1分,25分)1.在气相色谱分析中,为测定啤酒中微量硫化物,宜采用哪种检测器?( C)A.热导检测器B.火焰离子化检测器C.电子捕获检测器D.火焰光度检测器2. 在电位法中离子选择性电极的电位应与待测离子的浓度( B )A. 成正比;B. 的对数成正比;C. 符合扩散电流公式的关系;D. 的对数成反比;3. 在经典极谱分析中,可逆波的扩散电流i d 与汞柱高度h 的关系为( A ):A.i d∝ h1/2; B. i d∝ h; C. i d∝ h2; D. i d与h 无关;4. 在气相色谱法中,用于定性和定量分析的参数分别是( A )A.保留时间和峰面积B.分配比和峰面积C.半峰宽和保留时间D.峰面积和相对保留值5. 在其它色谱条件相同时,若理论塔板数增加4 倍,两个十分接近峰的分离度将增加( B )。

A. 1 倍B. 2 倍C. 3 倍D. 4 倍6. 气相色谱-质谱联用分析中, 常用的载气是( A )A.HeB.N2C. ArD.CO27.在极谱分析中,通常在试液中加入一定量的明胶,其目的是消除( A ) A.极谱极大B.迁移电流C.充电电流D.残余电流8.指出下列物质在反相色谱中的洗脱顺序( B )A.正已烷—正已醇—苯B.正已醇—苯—正已烷C.正已醇—正已烷—苯D.苯—正已醇—正已烷9. pH电极的内参比电极是( B )A.甘汞电极B.银-氯化银电极C.铂电极D.银电极10. 原子吸收分析对光源进行调制, 主要是为了消除( B )A.光源透射光的干扰B.原子化器火焰的干扰C背景干扰D物理干扰11. 若K+离子选择性电极对Na+离子的选择性系数的数值越大,说明( A )。

A.Na+离子对K+离子测定的干扰程度越大B. Na+离子对K+离子测定的的干扰程度越小C.电极的线性响应范围越大D.电极的线性响应范围越小12.下列哪种光学分析法不属于光谱分析法( A )A.光散射法 B.吸收光谱法 C.发射光谱法 D.荧光光谱法13.下列哪个部件不是光学分析仪器分光系统中的主要部件( D )A.进口狭缝 B.准直装置 C.色散装置 D.吸收池14. 原子发射光谱分析中,由第一激发态回到基态所产生的谱线是( B )。

仪器科学与动态测试作业模板四

仪器科学与动态测试作业模板四

1、根据你的研究工作给一片CPLD或FPGA编程。

解:我的研究工作主要是FPGA,所以本次我根据自己项目要求给出一片FPGA的程序。

程序采用与FPGA相应的Xilinx ISE软件编译,用VHDL语言实现功能。

图1.1表示整体程序设计原理图。

如图所示,程序设计主要分为三个功能模块:xdou模块,主要功能是消除抖动;zncap模块,主要功能有两方面。

一方面,zncap 模块通过USB接口接收上位机发送来的命令,并通过RS485总线接口将上位机命令发送给所有的下属模块,另一方面,zncap模块通过RS485总线接口接收下属模块传回的数据,并通过USB接口传给sn245模块;sn245模块,接收zncap 模块数据,传送给上位机;总的说来,此次程序设计的功能就是总线控制器,控制数据的双向传输。

图1.1 程序原理图(1)“xdou模块”程序设计本模块是为了消除程序中的抖动,在程序中定义了DB和cout两个信号,用以信号流通。

如上图所示,设计中用了两个该模块,分别连在USB接口“txe”和“rxf”接口上,控制“zncap模块”适时接收上位机发送来的命令的抖动,使“zncap模块”接收的信号更稳定,整个系统正常工作。

(2)“sn245模块”程序设计“sn245模块”的功能其实很简单,主要就是完成将“zncap模块”输出的数据(dataout)发送给上位机,主要程序设计的思想就是输出等于输入。

(3)“zncap模块”程序设计“zncap模块”完成程序的主要功能,图1.2表示为此模块的整体程序流程图。

此模块功能是在40MHZ的时钟控制下完成的。

图1.2 程序流程图2、说明量化、量化误差、信噪比、ADC的有效位数、以及采样频率高于Nyquist频率对有效位数的影响。

解:量化主要应用于从连续信号到数字信号的转换即AD转换中,指将信号的连续取值(或者大量可能的离散取值)近似为有限多个(或较少的)离散值过程。

在量化过程中,所取的最小数量单位叫做量化单位,用⊿表示。

仪器分析试卷模板汇总

仪器分析试卷模板汇总

仪器分析试卷模板一.选择填空(每小题1.5分,共30分)二.填空(每空1分,共20-30分)1.原子发射光谱是由于产生的。

2.原子吸收光谱中,谱线轮廓常用和来描述。

3.要区分Mn的279.48nm和279.83nm的两条谱线,仪器的理论分辨率是。

4.发射光谱分析中常用的激发光源有、、、和 .5.气相色谱仪的检测器常见的有、、和。

其中属于质量型的有 , 属于浓度型的有。

6.色谱定量分析的方法常用的有、和。

7.能成功解释色谱热力学因素的理论称为 ______________ ,能成功解释色谱动力学因素的理论称为 _______________ 。

8.发射光谱分析的仪器一般由、、和三部分组成。

9.有机物的紫外可见吸收光谱主要是由跃迁产生的。

随着溶剂极性的增加,由n-π跃迁的吸收带发生,由π-π跃迁的吸收带发生。

10.直流极谱分析法中常用为工作电极,以为参比电极组成池,通过测定来进行定量分析。

加入支持电解质的目的是为了消除的影响。

11. 分光光度法定量分析的理论依据是郎白-比耳定律[ A=Kbc=lg(1/T)=lg(I0/I t) ],其中,A为吸光度,K为,b 为,c为.T为,I0为,I t为透射光强度.12. 在分光光度法的显色反应过程中与待测组分形成有色化合物的试剂称。

13. 电位分析中,在一定条件下,pH的实用定义公式为:pH x = pH s +(E x– E s )/0.059 , 其中,pH x为待测溶液的pH值,pH s为,E x为,E s为。

14. 饱和法测定络合物组成时,常固定一种组分(金属离子M)的浓度,改变络合剂(R)的浓度,得到一系列[R]/[M] 比值不同的溶液,测定其吸光度A 。

以吸光度A为纵坐标,以为横坐标作图。

用外推法得一交点,从交点向横坐标作垂线,对应的[R]/[M]比值就是络合物的络合比。

15.电位分析中,离子选择性电极的选择性系数公式为:其中,Ki,j 为离子选择性系数,ai为待测离子活度,aj为,ni为,nj为。

分析仪器操作与实验技巧考核试卷

分析仪器操作与实验技巧考核试卷
D.主要用于定量分析
17.在电感耦合等离子体发射光谱(ICP-OES)分析中,以下哪种操作步骤是不必要的?()
A.样品消解
B.润洗进样管
C.调整雾化器压力
D.更换射频功率
18.以下哪种化合物不适合用气相色谱分析?()
A.非极性
B.极性
C.热稳定性差
D.沸点低
19.在液相色谱-质谱(LC-MS)联用技术中,以下哪种技术主要用于提高质谱检测的灵敏度?()
3.高效液相色谱中常用的固定相类型有:()
A.反相
B.正相
C.离子交换
D.吸附
4.以下哪些操作可能导致液相色谱分析中的峰拖尾现象?()
A.色谱柱填料不均匀
B.样品过载
C.柱温过低
D.流动相流速过快
5.原子吸收光谱分析中,影响吸光度的因素包括:(")
A.原子化温度
B.灯电流
C.样品浓度
D.光程长度
6.以下哪些是电感耦合等离子体质谱(ICP-MS)的优点?()
8. D
9. C
10. D
11. A
12. C
13. D
14. A
15. D
16. A
17. D
18. B
19. A
20. B
二、多选题
1. AD
2. ABC
3. ABCD
4. ABC
5. ABC
6. ABC
7. BC
8. AB
9. ABC
10. ABCD
11. ABC
12. ABC
13. ABC
14. ABC
3.分子离子峰通常为质谱图中最高的峰,而碎片离子峰则位于分子离子峰的下方。同位素峰可以帮助确定化合物的分子量,通过比较同位素峰的相对丰度和比例可以推断分子量。

仪器分析实验练习题

仪器分析实验练习题

仪器分析实验练习题一、填空题1、仪器分析方法一般可分为、和其它仪器分析方法。

2、仪器分析方法的基本性能指标,如、、、等。

3、分子所具有的可能能级数目比原子的能级数目要多得多。

分子的总能量E分子可表示为。

4、确定物质中元素的存在和测定它们的含量,主要有三大类光分析方法、、。

5、热分析是在程序控制温度下,测量物质的物理性质与温度关系的一类技术,其中使用最多的三种方法、、。

6、色谱法是一种技术。

7、依据色谱过程中流动相和固定相的物理状态分类是色谱法最基本的分类方法,按流动相的物态可将色谱法分为气相色谱法、和。

8、电分析化学方法分为以下几类:、、、。

9、火焰原子化器由、、三部分组成。

10、极谱法是在静止溶液中以为工作电极的伏安方法。

11、单扫描示波极谱法是为克服而发展起来的快速电分析测量技术之一。

12、循环伏安法是将循环变化的电压施加于和之间,记录工作电极上得到的电流与施加电压的关系曲线。

13、氟离子选择电极是以为敏感膜的电位法指示电极,对溶液中的具有良好的选择性。

14、原子吸收光谱法是基于气态原子外层的电子对的吸收。

二、请推导“阿佛加德罗常数”的计算公式?三、请写出下列反应的化学方程式。

1、二氧化硫催化氧化制取硫酸?2、氨的催化氧化制取硝酸?四、鉴别题请用化学方法区分丙醛和丙酮。

五、问答题1、用自制的水电解器电解水,所收集氧气的体积比理论只要小,为什么,如何改进?2、如何检验启谱发生其是否漏气?3、红外吸收光谱法的特点是什么?4、如图:电极的循环伏安图,请谱图与A、B、C对应上。

A、不可逆电极过程B、准可逆电极过程C、可逆电极过程5、说明一些具有d 10电子结构的过渡元素形成的卤化物及硫化物如AgBr 、PbI 2、Hgs 为什么具有颜色?6、简述石墨炉原子吸收光谱法直接测定试样中的痕量镉的实验原理?7、简述分子荧光光度法测定二氯荧光素的实验原理?8、简述离子选择电极法测定天然水中F -的实验原理?9、说明在吸收光谱仪和荧光光度计中,光源和测量装置(检测器)应成多少度角放置,并说明理由10、为什么乙炔与溴加成反应比乙烯与溴加成反应速度慢?11、如何节约木炭在氧气里燃烧淙时间?12、启普发生器,使用中途如何更换酸液?13、在演示氧气的化学性质时,将点烯的物质放入盛氧气的集气瓶里时,必须要怎样做,为什么?14、请写出浓度小的石灰水遇钢铁长城较多的二氧化碳时,所发生的反应方程式观察到现象?15、电解水实验中,无论采用酸或碱做电解液,为什么都不采用铜或碳棒做电极?16、纸上层析的试验原理是什么?17、氨 的催化氧化实验中,用非铂催化剂,须注意什么?六、实验题1、请回答原子发射光法实验操作步骤?仪器分析实验练习题答案1、光谱分析法、电分析化学方法2、精密度、灵敏度、检出限、线性范围3、E 分子=E 电子+E 振动+E 转移4、光学光谱法、质谱法、X 射线光谱法5、热重法(TG )、差热分析(DTA )、差示扫描量热法(DSC )6、分离7、液相色谱法、超临界流体色谱法8、电导分析法、电位分析法、伏安法和极谱法、电解和库仑分析法9、喷雾器,雾化室,燃烧器 10、滴汞电极 11、经典极谱法的不足12、工作电极,参比电极 13、氟化镧单晶片,氟离子 14、共振线二、答:答:(1)计算水槽里水面上形成的单分子膜中所含硬脂酸的分子个数(n ) 面积每个硬脂酸分子的横截硬脂酸单分子膜的面积=n )2(2D S ∏= 已知每个硬脂酸分子的横截面积A=22×10-16cm 2 10)2/(16222-⨯∏==D A S n (2)计算水槽里水面上形成的单分子膜中所含硬脂酸的质量m 1,m 1=每滴硬脂酸苯溶液所含硬脂酸质量×(滴数-1)Vd mVd d V m W V d )1(/)1(-=-= (3)计算每个硬脂酸分子的质量W ,10)2/(162,22)1(-⨯∏÷-==D m V d mVd n W (4)计算1mol 硬脂酸所含的分子数(N A ))2(10216/)1(2247.284'D V N V d m m M d A ∏-⨯⨯÷==- N A 即为阿佛加德罗常数三答:2SO3 SO3+H2O=H2SO42.4NH3+5O2=4NO+6H2O 2NO+O2=2NO2 3NO2+H2O=2HNO3+NO四答:丙醛 托伦试剂 银镜反应丙酮 银氨溶液 无现象五、问答题1、答:原因:(1)阳极材料被氧化要消耗一部分氧。

(完整版)仪器分析试题及答案

(完整版)仪器分析试题及答案

(完整版)仪器分析试题及答案单选题1. 下列方法中,不属于常用原子光谱定量分析方法的是(C)A. 校正曲线法;B. 标准加入法;C. 内标法;D. 都不行2. 紫外-可见吸收光谱光谱图中常见的横坐标和纵坐标分别是(B)A. 吸光度和波长;B. 波长和吸光度;C. 摩尔吸光系数和波长;D. 波长和摩尔吸光系数3. 紫外-可见检测时,若溶液的浓度变为原来的2倍,则物质的吸光度A和摩尔吸光系数ε的变化为( C ) A. 都不变;B. A增大,ε不变;C. A不变,ε增大;D. 都增大4. 荧光物质的激发波长增大,其荧光发射光谱的波长(B)A.增大;B. 减小;C. 不变;D. 不确定5. 在气相色谱定量分析方法中,要求所有组分全部流出色谱柱并能产生可测量的色谱峰的是()A.归一化法;B. 内标法;C. 外标法;D. 峰高加入法6. 在气相色谱法中,用非极性固定相SE-30分离己烷、环己烷和甲苯混合物时,它们的流出顺序为()A.环己烷、己烷、甲苯;B. 甲苯、环己烷、己烷;C. 己烷、环己烷、甲苯;D. 己烷、甲苯、环己烷.7. 反相液相色谱固定相和流动相的极性分别为()A.极性、非极性;B. 非极性、极性;C. 都为非极性;D. 都为极性8. 火焰原子吸收光谱法常用的光源为()A. 钨灯;B. 空心阴极灯;C. 氘灯;D. 都可以9. 原子发射光谱是利用谱线的波长及其强度进行定性何定量分析的,被激发原子发射的谱线不可能出现的光区是()A. 紫外;B. 可见;C. 红外;D. 不确定10. 用分光光度计检测时,若增大溶液浓度,则该物质的吸光度A 和摩尔吸光系数ε的变化为()A. 都不变;B. A增大,ε不变;C. A不变,ε增大;D. 都增大11. 荧光物质发射波长λem和激发波长λex的关系为()A. λem> λex;B. λem=λex;C. λem< λex;D. 不确定12. 用氟离子选择性电极测F-时往往许须在溶液中加入柠檬酸盐缓冲溶液,其作用主要有()A.控制离子强度;B. 消除Al3+,Fe3+的干扰;C. 控制溶液的pH值;D. 都有13. 铁氰化钾氧化还原峰峰电流ip与铁氰化钾浓度c及电势扫描速度v之间的关系分别为()。

仪器科学与动态测试作业模板五

仪器科学与动态测试作业模板五

1. .根据你的研究工作设计一个实际仪器(装置),描述仪器的总体方案,及其中你最感得意的部件的详细设计。

遥测噪声数据无损压缩装置设计目前,数据压缩技术被广泛应用于航天遥测系统中,在很大程度上减少了遥测系统传输、存储的数据量。

遥测噪声数据无损压缩装置以DSP+FPGA架构作为硬件设计基础,数据压缩算法采用算术编码(ARC)。

主要功能为将噪声传感器输出的噪声信号进行动态采集、无损压缩后,通过数字通讯接口形成一定码率的数据流输出给数据中转设备。

数据中转设备一边汇集各个设备的数据一边根据编码协议分离出有效数据,压缩后数据被分离出后发送数给遥测发射机,并传送至地面接收计算机,地面接收计算机利用解压缩软件将分离出的压缩数据解压还原,供分析使用。

1.1总体设计噪声数据压缩处理的第一步是对噪声传感器的噪声信号进行采集,本系统噪声信号共4 路,电压为0~5V,信号采样频率为27KHZ,A/D 采样位数≥10 位。

在满足噪声信号采集的基础上,压缩方式为ARC 无损压缩,压缩去除率不低于50%。

根据上述要求,我们将整个系统在硬件上分为噪声采集模块、噪声压缩模块、数据输出模块和电源模块。

总体设计方案如图1 所示。

噪声采集模块负责对噪声信号的调理采集,并发送给噪声缓冲区,噪声缓冲区采用FPGA 内部IP CORE FIFO,这大大提高了系统集成度与可靠性;噪声压缩模块采用DSP 对噪声数据进行压缩处理;数据输出模块主要将DSP 处理后数据通过PCM 接口输出。

图1 系统整体设计框图1.2无损压缩算法在DSP 内的实现对单路数据压缩而言,不需要考虑数据采集时通道编码和数据在DSP内的调度问题,而对于与多通道数据,各通道信号连续采样,接连输入DSP,以保证各通道数据的实时性,以4通道采样数据为例,AD采样数据流如下图所示:图2 AD采样数据流DSP接收采样数据时,DSP内4个数据接收缓冲中的数据量仅相差一个采样点,即各通道有效量化数据相差一个字节,DSP内4个通道的数据接收缓冲几乎在同一时刻达到2048字节,此时,DSP要面对同时压缩4个通道数据的繁重任务,而在其他时刻,DSP基本处于空闲状态,这样的执行方式不仅和合理,而且,四个通道数据同时压缩会造成DSP长时间处于繁忙状态,无暇进行采样数据的输入和压缩数据的输出,容易引起,量化FIFO的溢出和输出FIFO的读空。

完整版)仪器分析试题及答案

完整版)仪器分析试题及答案

完整版)仪器分析试题及答案1、仪器分析法是指利用专门的仪器,通过测量物质某些物理、化学特性的物理量来进行分析的方法。

2、电化学分析法包括电位分析法和电解分析法,其中电位分析法利用电极电位与被测物质活度的关系来测定溶液中待测组分的含量。

3、电化学分析法中的参比电极是用来提供电位标准的电极,其电位值应该是已知且恒定的。

4、荧光光谱法、紫外-可见吸收光谱法和原子吸收法属于光学分析法,而电位法属于电化学分析法,库仑分析法属于物理分析法。

5、玻璃器皿的洗涤方法应该根据污染程度选择不同的洗涤液,洗涤干净的标志是表面应该有一层薄薄的水膜。

6、分析天平的使用方法包括水平调节、预热、开启显示器、称量和关闭显示器等步骤,使用时需要注意保持天平平稳和正确读数。

7、参比电极在电位分析法中起着至关重要的作用,其电位值应该是已知且恒定的,以确保测量结果的准确性。

4、在电位分析法中,指示电极是一种电极,它的电位会随着待测离子活(浓)度的变化而变化,并能指示出待测离子活(浓)度。

5、pH的实用定义公式为pHx=pHs+(Ex-Es)×0.0592.6、荧光光谱法不属于电化学分析法。

7、在电位分析法中,作为指示电极,其电极电位应与被测离子的活度的对数成正比。

8、饱和甘汞电极的外玻璃管中装的是纯水。

9、pH玻璃电极膜电位的产生是由于氢离子穿透玻璃膜而使膜内外氢离子产生浓度差。

10、pH=9.18不是直接电位法中常用的pH标准缓冲溶液。

11、实验室常用的pH=6.86(25℃)的标准缓冲溶液是0.1 mol/L乙酸钠+0.1 mol/L乙酸。

12、pH复合电极的参比电极是银电极。

13、使用pH复合电极前需要进行活化,一般活化时间为30分钟。

14、使用pH复合电极前应用纯水进行活化。

15、如果已知待测水样的pH大约为5左右,定位溶液最好选pH7和pH9.16、如果已知待测水样的pH大约为8左右,定位溶液最好选pH2和pH7.17、使用离子选择性电极进行测量时,需要用磁力搅拌器搅拌溶液,以加快响应速度。

仪器科学与动态测试作业模板二

仪器科学与动态测试作业模板二

3. 设计一个8阶同相低通滤波器,滤波截止频率为你的学号尾数×1K,学号尾数为0的按10K取值;学号倒数第二位为0~3的选巴特沃斯型滤波器、倒数第二位为4~6的选切比雪夫型滤波器、倒数第二位为7~9的选贝塞尔型滤波器,增益为1,画出8阶滤波器的幅频特性,纵坐标用分贝值,横坐标为对数频率;画出相频特性;给出通带内最大误差(以分贝表示)。

所设计的滤波器电阻、电容序列化取值。

学号s1*******,所以按题目要求设计了截止频率为4K的巴特沃斯型滤波器①根据要求所设计滤波器幅频特性如下图所示:
图5 幅频特性图
②根据要求所设计滤波器相频特性图如下图所示:
图6 相频特性图
③图5在幅频特性图上标出了通带内的衰减情况,最大衰减为33.46dB,所以通带内的最大误差为33.46dB。

④滤波器电阻、电容序列化取值电路如下图所示:
图7 滤波器电路
⑤传递函数为:。

仪器科学与动态测试作业模板一

仪器科学与动态测试作业模板一

1根据你的研究工作设计一个实际仪器(装置),描述仪器的总体设计方案,画出状态图,用框图的方式表明采样策略及工作流程,设计计算其中你最感得意的部件,画出电气原理图。

40分微型放入式电子测压器设计放入式电子测压器是一种基于数字化数据采集与存储测试技术、结构设计技术的新型火炮膛压测试仪器,适用于火炮、弹药发射过程中自动测量火炮膛压曲线,以获得火炮的内弹道参数。

该系统是个独立的系统,不需要外部引线连接,它可以放置于炮膛内,待试验结束后取出,跟计算机连接读出试验数据。

其主要功能就是对膛内压力信号的采集、处理、转换和存储,并能通过接口把数据上传到计算机。

图1 测试系统电路原理框图测试系统的电路组成如图1所示。

测试系统由信号采集传感器模块、适配放大电路模块、单片机组、以及串行接口组成。

被测膛压信号通过压电传感器转化为电荷信号,电荷信号通过模拟适配电路转化为电压信号,电压信号通过单片机内部模拟放大器进行放大,通过单片机内部ADC转换为数字信号。

转换后的数据存入单片机内部存储器, 实现信号的存储功能。

实验结束后,通过计算机串口将数据读入计算机中,使用专用的处理软件显示各种参数的实测曲线。

为了正确的获得膛压测试曲线,测试系统应有恰当的工作状态,作为整个系统控制的基础,本测试系统的状态图如图2。

在状态图中,每一个大圆圈代表一种工作状态,圈内写明了工作状态,大圆圈之间的长箭头表示状态转换方向,在长箭头上下表明转换条件,下面通过对每一状态的解释来说明测试系统的整个工作过程。

1、接通电源态:接通电源VCC后,电路处于接通电源的状态,由于要进行保温,此状态至少要保持48小时。

所以在本设计要求尽可能的做到系统的功耗最低。

在此状态下系统有三种走向:既可以接受编程信号使电路编程,也可以接受读数信号读数,还可以倒置倒置开关使系统延时上电。

2、电路编程状态:在本设计中系统的采样频率、触发电平、测量量程可调。

在系统处于接通电源态时,保证开关不倒置的情况下。

仪器测试与动态专题作业

仪器测试与动态专题作业

仪器科学与动态测试专题学院:__________________________专业:__________________________班级:__________________________流水号:________________________姓名:__________________________1■根据你的研究工作设计一个实际仪器(装置),描述仪器的总体方案,及其中 你最感得意的部件的详细设计。

解:虚拟函数信号发生器的设计主要分为以下几个模块:基本函数波形产生模块、任意公式波形产生模块、 噪声信号产生模块、自相关函数演示模块、虚拟正弦波频谱分析仪模块、虚拟积 分器与微分器模块以及虚拟函数信号发生器的总体设计。

各个模块均给出了相应的流程图和前面板波形图。

1.1基本函数波形产生模块该模块后面板框图程序如图1.1所示。

这一模块是应用波形产生子模板中的Basic Function Generator.VI (基本函数发生器)节点来产生1正弦波、三角波、 方波、锯齿波等四种信号。

在波形产生子模板中的所有模块不仅输出包含指定波 形图形的数字型数组,而且包含时间参数,这种数据类型在LabVIEW 中称作波形 数据。

波形数据以簇的形式给出,包括起始时间tO 、采样时间间隔dt 和一个由 采样数据构成的数组。

示波器显示波形的周期数目=时间长度/信号周期。

基本函数波 形产生模块 基本函数波 形产生模块任意 函数波形产 生模块虚拟函数 信号发生器虚拟正弦波 频谱分析仪 模块虚拟正弦波 频谱分析仪 模块自相关 函数波形产 生模块虚拟积分器 与微分器模块噪声信号 产生模块图1.1基本函数波形产生模块框图程序2.2任意公式波形产生模块这个程序作为主程序Case 结构的一个分支。

该模块后面板框图程序如图1.3 所示。

为了能够产生一些非周期信号或其它测试领域的特殊信号在本设计中应用 波形产生子。

模版中的Formula Waveform (公式波形)VI 节点来产生任意波形。

(完整版)仪器分析试题及答案.docx

(完整版)仪器分析试题及答案.docx

复习题库绪论1、仪器分析法:采用专门的仪器,通过测量能表征物质某些物理、化学特性的物理量,来对物质进行分析的方法。

(A) 2、以下哪些方法不属于电化学分析法。

A 、荧光光谱法B 、电位法C、库仑分析法D、电解分析法(B) 3、以下哪些方法不属于光学分析法。

A 、荧光光谱法B 、电位法C、紫外 -可见吸收光谱法 D 、原子吸收法(A) 4、以下哪些方法不属于色谱分析法。

A 、荧光广谱法B、气相色谱法C、液相色谱法D、纸色谱法5、简述玻璃器皿的洗涤方法和洗涤干净的标志。

答:( 1)最方便的方法是用肥皂、洗涤剂等以毛刷进行清洗,然后依次用自来水、蒸馏水淋洗。

( 3 分)(2)玻璃器皿被污染的程度不同,所选用的洗涤液也有所不同:如:①工业盐酸——碱性物质及大多数无机物残渣( 1 分)②热碱溶液——油污及某些有机物( 1 分)③碱性高锰酸钾溶液——油污及某些有机物( 1 分)(3)洗涤干净的标志是:清洗干净后的玻璃器皿表面,倒置时应布上一层薄薄的水膜,而不挂水珠。

( 3 分)6、简述分析天平的使用方法和注意事项。

答:( 1)水平调节。

观察水平仪,如水平仪水泡偏移,需调整水平调节脚,使水泡位于水平仪中心。

( 2 分)(2)预热。

接通电源,预热至规定时间后。

( 1 分)(3)开启显示器,轻按ON 键,显示器全亮,约 2 s 后,显示天平的型号,然后是称量模式 0.0000 g。

( 2 分)(4)称量。

按 TAR 键清零,置容器于称盘上,天平显示容器质量,再按TAR 键,显示零,即去除皮重。

再置称量物于容器中,或将称量物(粉末状物或液体)逐步加入容器中直至达到所需质量,待显示器左下角“0消”失,这时显示的是称量物的净质量。

读数时应关上天平门。

( 2 分)(5)称量结束后,若较短时间内还使用天平(或其他人还使用天平),可不必切断电源,再用时可省去预热时间。

一般不用关闭显示器。

实验全部结束后,按OFF 键关闭显示器,切断电源。

仪器科学与动态测试专题

仪器科学与动态测试专题

仪器科学与动态测试专题学院:仪器与电子学院专业:班级:流水号:学号:姓名:1. 根据你的研究工作给一片CPLD或FPGA编程。

以下代码实现的功能是由四个开关组成的阵列控制5X5光源阵列,当开关为1111状态时,光源全灭;当开光为0111状态时,光源阵列向左箭头闪动。

当开光为0111状态时,光源为阵列是向左箭头闪动,当开光为0000状态时,光源全亮。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity intex isport( clk:in std_logic;key:in std_logic_vector(3 downto 0);ctrl:out std_logic_vector(24 downto 0));end ;architecture arch of intex issignal int: std_logic;signal ctrl0,ctrl1,ctrl2,ctrl3,ctrl4: std_logic_vector(4 downto 0);beginprocess(clk)variable c:integer range 0 to 6000000;variable state:integer range 0 to 8;beginif clk'event and clk='1' thenif key="1111" thenctrl0<="00000"; ctrl1<="00000"; ctrl2<="00000"; ctrl3<="00000";ctrl4<="00000";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;elsif key="0111" thencase state iswhen 0=>if c=1999999 thenc:=0; state:=1;elsec:=c+1;ctrl0<="10000"; ctrl1<="01000"; ctrl2<="00100"; ctrl3<="01000"; ctrl4<="10000";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when 1=>if c=1999999 thenc:=0; state:=2;elsec:=c+1;ctrl0<="01000"; ctrl1<="00100"; ctrl2<="00010"; ctrl3<="00100"; ctrl4<="01000";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when 2=>if c=1999999 thenc:=0; state:=3;elsec:=c+1;ctrl0<="00100"; ctrl1<="00010"; ctrl2<="00001"; ctrl3<="00010"; ctrl4<="00100";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when others=>state:=0;end case;elsif key="1011" thencase state iswhen 0=>if c=1999999 thenc:=0; state:=1;elsec:=c+1;ctrl0<="00001"; ctrl1<="00010"; ctrl2<="00100"; ctrl3<="00010"; ctrl4<="00001";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when 1=>if c=1999999 thenc:=0; state:=2;elsec:=c+1;ctrl0<="00010"; ctrl1<="00100"; ctrl2<="01000"; ctrl3<="00100"; ctrl4<="00010";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when 2=>if c=1999999 thenc:=0; state:=3;elsec:=c+1;ctrl0<="00100"; ctrl1<="01000"; ctrl2<="10000"; ctrl3<="01000"; ctrl4<="00100";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when others=>state:=0;end case;elsif key="0000" thencase state iswhen 0=>if c=1999999 thenc:=0; state:=1;elsec:=c+1;ctrl0<="00000"; ctrl1<="00000"; ctrl2<="00000"; ctrl3<="00000"; ctrl4<="00000";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when 1=>if c=1999999 thenc:=0; state:=2;elsec:=c+1;ctrl0<="11111"; ctrl1<="11111"; ctrl2<="11111"; ctrl3<="11111"; ctrl4<="11111";ctrl<=ctrl0&ctrl1&ctrl2&ctrl3&ctrl4;end if;when others=>state:=0;end case;end if;end if;end process;end arch;2. 说明量化、量化误差、信噪比、ADC的有效位数、以及采样频率高于Nyquist 频率对有效位数的影响。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1. 根据你的研究工作给一片CPLD或FPGA编程。

以下代码是参考Xilinx原语编写的基于Spartan2硬件平台上的DLL(数字延时锁相环)VHDL语言实现代码。

在这个代码中DLL实现的功的是二分频。

图1是使用这段代码生成的Symbol,图2是使用测试激励TestBench文件的仿真图。

--模块功能:二分频
--CLKIN:输入时钟
--RESET:复位信号
--CLK2X:输出时钟
--LOCKED: 输出锁存信号
library IEEE;
Library UNISIM;
use UNISIM.vcomponents.all;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity CLK_DIV2 is
Port ( CLKIN : in STD_LOGIC;
CLKDV : out STD_LOGIC);
end CLK_DIV2;
architecture Behavioral of CLK_DIV2 is
signal CLK0_BUF :std_logic;
signal CLKFB_BUF :std_logic;
begin
BUFG_inst : BUFG
port map (
O => CLKFB_BUF, -- Clock buffer output
I => CLK0_BUF -- Clock buffer input
);
CLKDLL_inst : CLKDLL
generic map (
CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,4.0,5.0,8.0 or 16.0
DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or FALSE FACTORY_JF => X"C080", -- FACTORY JF Values
STARTUP_WAIT => FALSE) -- Delay config DONE until DLL LOCK, TRUE/FALSE
port map (
CLK0 => CLK0_BUF, -- 0 degree DLL CLK ouptput
CLK180 => open, -- 180 degree DLL CLK output
CLK270 => open, -- 270 degree DLL CLK output
CLK2X => open, -- 2X DLL CLK output
CLK90 => open, -- 90 degree DLL CLK output
CLKDV => CLKDV, -- Divided DLL CLK out (CLKDV_DIVIDE)
LOCKED => open, -- DLL LOCK status output
CLKFB => CLKFB_BUF, -- DLL clock feedback
CLKIN => CLKIN, -- Clock input (from IBUFG, BUFG or DLL)
RST => '0' -- DLL asynchronous reset input
);
-- End of CLKDLL_inst instantiation
end Behavioral;
图1 使用原语生成的Symbol
图2 Testbench激励仿真图
2. 说明量化、量化误差、信噪比、ADC的有效位数、以及采样频率高于Nyquist频率对有效位数的影响。

量化:在进行A/D转换时,必须把取样电压表示为最小单位的整数倍,这个转换过程叫做量化。

所取的最小数量单位叫做量化单位,用⊿表示。

量化误差:由于模拟信号是连续的,那么它就不一定能被⊿整除,因而量化过程不可避免的会引入误差。

这种误差称为量化误差。

如果把0~15V的模拟信号转换为3位二进制代码,最简单的是取量化单位⊿=1/8V,并规定凡数值在0~1/8V之间的模拟电压都当作0·⊿对待,用二进制数“000”表示,在1~2/8V之间的模拟电压当作1·⊿对待,用二进制数“001”表示,这种量化方法可能带来的最大误差可达⊿,即1/8V。

为了减小量化误差,可采用以下改进方法划分量化电平,以0~7.5V模拟信号为例,取量化电平⊿=1V,并将输出代码“000”对应模拟电压范围规定为0~0.5V,即0~1/2⊿,这样可以将最大量化误差减小到1/2⊿。

由于现在将每个二进制代码所表示的模拟电压规定为他所对应的模拟电压范围的中间值,所以最大量化误差自然不超过1/2⊿。

图3半正弦波3位AD 变换的量化和量化误差
信噪比:如果输入信号为s X ,量化后得d X ,量化误差可看作引进量化噪声,量化误差d s X X e -=;定义q 为一个量化阶梯 e 的均值:
0)(==e E e
e 的方差:
12
}){(2
2
2q e e E e
=-=σ
32/q e =σ
e σ为量化误差是方均根值,或不确定度。

设输入信号xs 为一个正弦信号,其幅值为A =VFS /2,偏置为VFS /2;其均方值为:
222}2
)12({2121q A N xs
-==σ
输入信号对量化噪声的信噪比为:
N N N e
xs q q q q SNR 222
2222
25.112
}22{21 12}2)12({21⨯=≈
-==σσ
N ..N .S N R N 026761 2log 20761 )25.1log(102+=⨯+=⨯=
以上是针对正弦信号而言,对于其他信号则应当具体计算其均方值,再计算其信噪比。

采集系统在某给定信噪比的条件下的有效位数NEF (ENOB ):
02
.676
.1-=
SNR N EF
3.滤设计一个8阶同相低通滤波器,滤波截止频率为你的学号尾数×1K ,学号尾数为0的按10K 取值;学号倒数第二位为0~3的选巴特沃斯型滤波器、倒数第二位为4~6的选切比雪夫型滤波器、倒数第二位为7~9的选贝塞尔型滤波器,增益为1,画出8阶滤波器的幅频特性,纵坐标用分贝值,横坐标为对数频率;画出相频特性;给出通带内最大误差(以分贝表示)。

所设计的滤波器电阻、电容序列化取值
学号为S1*******,所设计滤波器截止频率为5K 的巴特沃斯型滤波器。

①根据要求所设计滤波器幅频特性如下图4所示:
图4 幅频特性图
②根据要求所设计滤波器相频特性图如下图5所示:
图5相频特性图
③由图2可知,通带内衰减为22.81dB,故通带内最大误差为22.81dB。

④设计该滤波器电路如下图所示,该滤波器电阻、电容序列化取值如下图6所示。

图6 滤波器电路
⑤传递函数为:
图7 Circuit Transfer Function
⑥时间响应为:
图8 Time Response
4.如何构建一个负基准电源?说明理由。

基本原理是对振荡波进行整流和滤波以得到负电源,电路原理为:555 IC 作为无稳态振荡器,并且频率大约是 1kHz。

从IC的3脚得到方波输出,当输出为正时, 22uF 电容通过二极管 D1充电,当3脚输出为地时,22uF 电容通过 D2放电并对100uF电容充电同时在 100uF电容两端得到负电源。

本电路在普通整流效果下可以输出 40mA的电流。

需要注意的是负电源输出绝对值由于二极管的压降会比正电源低一些,比如正电源电压是9V ,则输出大约是-7.5V。

图9 负基准电源设计。

相关文档
最新文档