多功能数字钟电路设计-通信0806邱帆
多功能数字钟电路设计
多功能数字钟电路设计作者:武垚来源:《科技风》2016年第05期摘要:多功能数字种电路是采用数字显示的基本电路,按照时间“分”、“秒”进行计时,测算时间的一种装置。
通过稳定测算可以保证精度,与传统的机械钟表相比,更加具有参考的意义。
同时多功能数字时钟可以通过计时的方法,完成日期、星期的计算,可以对时间进行自动校正处理,具有直观的自动电子显示功能。
本文将针对其原理、电路、功能、软件、仿真实验等多项功能进行组合分析,研究适合电路的有效制作方法,加强电路的实际应用效果。
从设计角度,加强多功能性的应用创新,实现采用数字电子技术完成时间、计时等多项任务的效果。
关键词:数字钟;设计;多功能电路以时间为基准,按照罗马计数法,对数码显示管的显示屏进行显示,确定时间、分钟和秒钟,通过二十四小时、六十分、六十秒周期校正,确定时、分、秒显示的情况,确定有效时间范围,提高设计校正功能的精准性。
按照工作原理,分析认识电路,确定电路有效操控方法,从多功能数字时钟电路中找寻更加适合的设计方案,提高设计功能效果,改善数字钟运行电路的基本工作原理,加强数字钟电路的整体工作效率,确保数字种多功能电路的有效实用价值。
一、框架原理数字电路按照数字形式,完成数字时钟内的时针、分针和秒针的时间很准,通过二十四时完成一个整体翻的记录,对相关的电路进行计量,选用六十一翻的记录,对分和秒进行对视,确定整点校正的时、分、秒。
通过整体报时功能完善数字电路的功能性效果,提高数字钟的可读性。
二、设计方案1.震荡器。
振荡器是电路数字钟的基本元器件,通过稳定数字钟的基本频率,确定整体精度,保证有效震荡器的震荡准确度。
555集成设备,通过信号标准,确定设计的信号源。
按照石英晶体为原材料,设计震荡电路。
石英可以有效的保证时间准确,具有较为稳定的测定级别。
通过加强时间脉冲信号的推进,确保电路基本频率,完善时分水平,保证时分脉冲标准,提高频率设定效果,完成在单位频率下的电流电路取值。
功能数字钟的电路设计
(1)时刻脉冲产生电路
方案一:由集成电路按时器555与RC组成的多谐振荡器作为时刻标准信号源。
图2555与RC组成的多谐振荡器图
方案二:由逻辑门电路和RC组成,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:
1)闹钟功能,可按设定的时刻闹时。
2)日历显示功能。将时刻的显示增加“年”、“月”、“日”。
二、
一个具有计时、校时、报时、显示等大体功能的数字钟要紧由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部份组成。石英晶体振荡器产生的信号通过度频器取得秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时刻。数字钟的整机逻辑框图如下:
图2 石英晶体振荡电路
振荡器还能够采纳555时基电路代替。
2)分频器
时刻标准信号的频率很高,要取得秒脉冲,需要分频电路。例如,振荡器输出4MHZ信号,可通过D触发器(如74LS74)进行4分频变成1MHZ,也能够将10分频计数器74LS160(或74LS90)行4分频变成1MHZ,然后送到10分频计数器74LS160(或74LS90),通过6次10分频而取得1HZ的方波信号。
⑶时刻计数器电路:时刻计数电路由秒个位和秒十位计数器、分个位和分十
位计数器及时个位和时十位计数器电路组成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而依照设计要求,时个位和时十位计数器为24进制计数器。
⑸整点报时电路:一样时钟都应具有整点报时电路功能,即在时刻显现整点前数秒内,数字钟会自动报时,以示提示.
图 1数字钟整机逻辑图
多功能数字钟的电路设计
多功能数字钟的电路设计目录:一、设计题目二、设计任务和要求三、电路原理分析与程序设计四、元器件五、仿真图六、心得体会七、参考文献资料八、实物图一、题目:多功能数字钟的电路设计二、设计任务与要求1)时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2)具有校准时、分的功能。
3)整点自动报时,在整点时,便自动发出鸣叫声,时长1s。
选做:1)闹钟功能,可按设定的时间闹时。
2)日历显示功能。
将时间的显示增加“年”、“月”、“日”。
三,电路原理分析与程序设计1.数字钟的构成数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。
石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。
数字钟的整机逻辑框图如下:译码显示电路时计数器分计数器秒计数器振荡器校时电路报时电路多级分频器1)555秒脉冲发生电路与晶振秒脉冲发生电路的比较555与RC组成的多谐振荡器,产生频率 f=1kHz的方波信号,则可设计出相应的电路,其中RP可微调振荡器的输出频率f。
555由电阻分压器、电压比较器、基本R-S触发器、放电三极管和输出缓冲器5部分组成。
要产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。
但是相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,所以最后决定采用晶振脉冲发生电路。
石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
多功能数字钟电路设计指导书及仿真图
课题一数字电子钟逻辑电路设计一、简述数字电了钟是•种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示宜观、无机械传动装置等优点,因而得到了广泛的应用。
小到人们日常生活中的电f•手农,大到车站、码头、机场等公共场所的大型数显电了钟。
数字电了钟的电路组成方框图如图所示。
显示器显示器显示器显示器译码器译码器译码器译码器7进制周24进制时60进制分60进制秒计数器计数器计数器计数日时分秒1H分频晶体振荡单次或连续脉冲图敌字电子钟框图由图可见,数字电了钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器:校时电路: 六十进制秒、分计数器,二十四进制(或十二进制)计时计数器:秒、分、时的译码显示部分等。
二、设计任务和要求用中、小规模集成电路设计•台能显示日、时、分、秒的数字电了•钟,要求如下:1.由晶振电路产生1Hz标准秒信号。
2.秒、分为00、59六十进制计数器。
3.时为00〜23二十四进制计数器。
4.周显示从1〜日为七进制计数器。
可手动校时:能分别进行秒、分、时、日的校时。
只要将开关置于手动位置,可分别对5・秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。
6.整点报时。
整点报时电路要求在每个整点前呜叫五次低音(500Hz),整点时再呜叫•次高音(1000Hz)o三.可选用器材1.通用实验底板2.直流稳压电源3.集成电路:CD4060、74LS74. 74LS161. 74LS248 及门电路4.晶振:32768 Hz5•电容:100 U F/16V> 22pF、3〜22pF 之间6•电阻:200 Q x 10KQ、22MQ7.电位器:Q或Q8.数显:共阴显示器LC5011-119.开关:单次按键10.三极管:8050喇叭:1W/4, 8Q四、设计方案提示根据设计任务和耍求,对照数字电/钟的框图,可以分以下几部分进行模块化设计。
1.秒脉冲发生器脉冲发生器是数字钟的核心部分,它的持度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1HZ的秒脉冲。
多功能数字钟电路设计
多功能数字钟电路设计1、功能要求:①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。
②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。
2、设计步骤与要求:①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低;②设计各单元电路,并用Multisim软件仿真;③在通用电路板上安装电路,只要求显示时分;④测试数字钟系统的逻辑功能;⑤写出设计报告。
设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。
3、给定的主要器件:74LS00(4片),74LS160(4片)或74LS161(4片),74LS03(OC,1片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),发光二极管(2只),555(2片)。
4、仪器和设备:稳压电源(或数字逻辑学习机),双宗示波器,数字万用表、数字通用板、拨线钳和电烙铁等。
5、设计报告要求(1)写出各单元电路的工作原理、设计过程及器件选择;(2)画出完整的电路原理图,并标明各元器件的参数值;(3)绘出电路中的时序波形,整理实验数据,并加以说明;(4)写出设计过程中出现的故障现象及其解决办法;(5)设计心得、体会及建议。
6、参考文献:1、《电子技术基础课程设计指南》清华大学出版社、焦宝文主编;2、《电子线路设计大全》华中科技大学出版社、陈碗儿主编3、《数字电子技术基础》清华大学出版社、阎石主编4、《TTL集成电路大全》电子工业出版社7、数字电子钟的设计提示1)、数字电子计时器组成原理图1数字电子计时器的结构框图2)、用74160实现12进制计数器3)、校时电路当刚接通电源或时钟走时出现误差时,都需要进行时间的校准。
校时是数字钟应具有的基本功能,一般电子钟都有时、分、秒校时功能。
多功能数字钟的电路设计-数电课程设计报告
吉林建筑大学电气与计算机学院数字电子技术课程设计报告设计题目:多功能数字钟的电路设计专业班级:自动化141学生姓名:学号:指导教师:设计时间:2016.06.20-2016.07.01多功能数字钟的电路设计报告一、设计任务及要求本课程设计的基本任务,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。
侧重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。
学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。
设计要求:1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。
2.具有校时功能,可分别对“时”、“分”进行单独校时。
3.能用硬件成功实现以上各功能。
4.具有整点自动报时功能,整点前的6s自动发出鸣叫声,步长1s,每1s 鸣叫一次,前五响是低音,最后一响为高音。
二、设计的作用、目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
掌握数字钟的设计、组装与调试方法。
熟悉集成电路的使用方法。
三、设计过程1.方案设计与论证1.1系统设计思路能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使用3个2位数码管显示。
1.2总体方案系统原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
课程设计多功能数字钟电路设计
2020—2020学年第二学期数字电子技术课程设计报告专业班级自动化08—2班姓名学号 0805开课系室电工电子学教学中心设计日期 2020年8月23日~27日设计题目:多功能数字钟电路设计一、设计任务及要求:本次课程设计任务是设计一个多功能数字钟。
具体要求是:1.钟表的工作机理,整个钟表的工作应该是在1Hz信号的作用下进行,如此每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,可是需要注意的是,小时的范围是从0~23时。
2.小时-分钟-秒钟。
3.整点报时,在整点前5秒LED开始依照1HZ频率闪烁,过整点后,停止闪烁。
4.调整时刻的按键用按键模块的S1和S2,S1调剂小时,每按下一次,小时增加一个小时,S2调整分钟,每按下一次,分钟增加一分钟。
另外用S8按键作为系统时钟复位,复位后全数显示00-00-00。
二、设计原理与方案:(一)、顶层设计方案:(包括原理框图及其工作原理说明等内容)图1 原理框图工作原理说明:clk用于输入50MHZ时钟,s1用于给小时加1,s2用于给分钟加1,s8用于复位。
分频器分出三个频率的时钟,clkout1输出1HZ,clkout2输出1千HZ,clkout1输出2HZ。
操纵器输入端口t1用于操纵灯闪烁,输出端口led接小灯,ss一、ss二、reset别离贮存s一、s二、s8的值并将其传给计数器。
计数器输出端口shis表示小时的十位,shig表示小时的列位,mins表示分钟的十位,ming表示分钟的个位。
secs表示秒的十位,secg表示秒的个位。
显示器输出端口leds接七段数码管,wei接数码管的操纵端。
当clkout1显现上升沿时,秒执行加1或进位操作,假设秒进位,那么分钟执行加1或进位操作,假设分秒都进位,那么小时进行加1或进位操作。
小时进位前5秒,灯开始以1HZ频率闪烁。
按下s1时小时加1或进位,按下s2时分钟加1或进位,假设分钟进位,小时同时进行加1或进位操作。
多功能数字钟设计
port map(clk=>clk1s,clr=>disable,en=>s_mh_en,count=>s_mh);
SET_H: counter
generic map( count_value => 23)
port map(clk=>clk1s,clr=>disable,en=>s_h_en,count=>s_h);
architecture rtl of TIMER is
Begin
定义语句区
End rtl;
功能描述(并发描述语句)
㈠时钟信号生成功能部分
signal clk1s: std_logic; --1s计数时钟
signal cnt: std_logic_vector( 9 downto 0);
signal dy : std_logic; --整点报时控制时钟,50’’、52”、54”、56”、 58” generat_1s_clock: PROCESS (scanclk)
h<=c_h when set = '0' else s_h; hh<=1 when h>=10 and h<20 else
2 when h>=20 else 0; hl<=(h-0) when h<10 else (h-10) when h>=10 and h<20 else (h-20);
㈢闹表计时功能描述
signal s_ml_en , s_mh_en, s_h_en : std_logic; 定义语句区
signal s_mh,: integer range 0 to 5;
signal s_ml: integer range 0 to 9;
多功能数字钟电路设计
多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。
可以使用七段显示器来显示数字。
2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。
3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。
4.日历功能:设计一个日历功能,可以显示当前的日期和星期。
5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。
6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。
7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。
8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。
这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。
多功能数字钟电路设计 - 多功能数字中电路设计
多功能数字钟电路设计一功能要求1 基本功能:⑴准确计时,以数字形式显示时、分、秒的时间;⑵小时的计时要求为24进位,分和秒的计时要求为60进位;⑶校正时间,时、分快校(1HZ)。
2 扩展功能:⑴定时报,时间自定,闹1分钟(1KHZ);⑵仿广播电台正点报时;⑶报整点时数;二主体电路设计数字钟电路系统由主体电路和扩展电路两大部分组成。
其中,主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。
其组成框图如下:显示器及译码器部分为板载,因此只需要设计计数器,校时电路和扩展电路。
1.小时计数器时计数器是一个24进制计数器,其计数规律为00—01—…—22—23—00…即当数字钟运行到23时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为00时00分00秒。
原理图如下:使用了两片74LS161(4位二进制同步加法计数器)来实现小时计数,即模24的计数器。
HOUR[0]~HOUR[3]为小时个位,HOUR[4]~HOUR[7]为小时十位。
2. 分秒计数器分和秒计数器都是模60的计数器。
其计数规律为00—01—…—58—59—00…其原理图如下:秒计数器与上图相同,图略。
分别使用了两片74LS161来实现分和秒的计数,均为。
其中MIN[0]~MIN[3]为分个位,MIN[4]~MIN[7]为分时位,SEC[0]~SEC[3]为秒个位,SEC[4]~SEC[7]为秒时位。
3. 校时电路当数字钟接通电源或者计数出现误差时,需要校正时间(或称校时)。
校时是数字中应具备的基本功能。
为使电路简单,这里只进行分和小时的校时。
对校时电路的要求是,在小时校正时不影响分和秒的正常计数;再分校正时不影响秒和小时的正常计数。
校时方式有“快校时”和“慢校时”两种,“快校时”是,通过开关控制,使计数器对1Hz的校时脉冲计数。
“慢校时”使用手动产生单脉冲作校时脉冲。
本实验只要求实现“快校时”。
其原理图如下:4. 定时控制电路数字钟在指定的时刻发出信号,或驱动音响电路“闹时”。
多功能数字钟电路设计
多功能数字钟电路设计多功能数字钟电路设计数字钟是现代生活中常见的电子产品之一,可以显示当前的时间,并且通常还具备闹钟、定时器等功能。
本文将介绍一个多功能数字钟的电路设计。
要设计一个多功能数字钟,我们首先需要选择一个合适的微控制器作为主控芯片。
在本设计中,我们选择了一款功能强大且易于编程的Arduino微控制器。
在电路设计方面,我们需要一个显示器来展示时间和其他功能。
这里我们选择了四位数码管作为显示器,并通过数码管驱动芯片将其与Arduino连接起来。
为了接收时间信号并实现精确的时间显示,我们需要一个实时时钟芯片(RTC)来提供时间基准。
我们选择了DS3231作为RTC芯片,该芯片具有高精度和低功耗的特点。
为了实现闹钟功能,我们需要一个蜂鸣器作为报警器。
通过Arduino控制蜂鸣器的开关,我们可以在设定的时间触发闹钟功能。
此外,我们还可以添加其他功能,比如温度显示、定时器等。
温度显示可以通过添加温度传感器并将其与Arduino连接来实现。
定时器功能可以通过编程实现,利用Arduino的计时器来设置定时任务。
整个电路的设计思路如下:首先,Arduino通过I2C总线与DS3231实时时钟芯片通信,以获取当前的时间。
然后,将时间数据和其他功能数据通过数码管驱动芯片发送到数码管上,实现时间和其他功能的显示。
同时,Arduino还通过GPIO控制蜂鸣器的开关,实现闹钟功能。
如果需要温度显示,Arduino还会通过模拟输入口读取温度传感器的数据,并将其显示在数码管上。
此外,定时任务可以通过编程设置,定时器的触发可以控制其他模块的开关或执行其他操作。
通过这样一个多功能数字钟的设计,我们可以不仅方便地获取当前的时间,还可以实现其他实用的功能。
这种设计适用于家庭、办公室等各种场合,可以提高生活和工作的便利性。
同时,这个设计的实现也展示了使用微控制器和外设芯片来构建和控制各种功能的能力,是对电子设计和嵌入式系统的一种实践。
多功能数字钟电路设计
课程设计课程名称电子技术课题名称多功能数字钟专业班级学号姓名指导教师2012年12月3日设计内容与设计要求一.设计内容:1、准确计时,以数字形式显示时、分、秒的时间;2、小时计时要求“24翻1”,分和秒的计时为60进制。
3、可手动较正:能进行时、分、秒的时间校正,只要将开关置于手动位置,可对时、分、秒进行手动脉冲输入调整或连续脉冲输入的校正。
4、整点报时:整点报时电路要求在每个整点前鸣叫5次低音(500HZ),整点时再鸣叫1次高音(1000HZ)。
5、闹铃功能。
二、设计要求:1、思路清晰,给出整体设计框图和总电路图;2、单元电路设计,给出具体设计思路和电路;3、写出设计报告;主要设计条件1.提供调试用实验室;2.提供调试用实验箱和电路所需元件及芯片;说明书格式1.课程设计封面;2.任务书;3.说明书目录;4.设计总体思路,基本原理和框图(总电路图);5.单元电路设计(各单元电路图);6.安装、调试步骤;7.故障分析与电路改进;8.总结与体会;9.附录(元器件清单);10.参考文献11、课程设计成绩评分表进度安排第14周星期一:课题内容介绍和查找资料;星期二:总体电路设计和分电路设计;星期三:电路仿真,修改方案星期四:确定设计方案,拟订调试方案,画出调试电路图,安装电路;星期五:安装、调试电路;第15周星期一~二: 安装、调试电路;星期三:验收电路;星期四~五:,写设计报告,打印相关图纸;星期五下午:带调试电路板及设计报告书进行答辩;整理实验室及其它事情。
一设计总体思路 (1)1.总体思路 (1)2.基本原理和框图 (2)3.总电路图 (3)二单元电路设计 (4)1.秒计数单元 (4)2.分计数单元 (5)3.时计数单元 (5)4.调时电路 (6)5.闹钟电路 (7)6.分频器 (9)7.整点报时模块 (10)三安装、调试步骤 (11)四故障分析与电路改进 (12)五总结和体会 (12)一设计总体思路1.总体思路数字钟由函数脉冲发生器、分频器、计数器、译码显示、报时等电路组成。
多功能数字钟电路设计
C1 0.1F
1
5
C2
0.01F
2. 分频器的设计
❖ z分频器的功能主要有两个
❖ y产生标准秒脉冲信号 y 提供功能扩展电路所需要的信号,如仿电台报时用
的1kHz的高音频信号和500Hz的低音频信号等
3. 时分秒计数器的设计
z分和秒计数器都是模M=60的计数器
y其计数规律为00—01—…—58—59—00… y选74LS92作十位计数器,74LS90作个位计数器,再
6 217
&
5
1Q
1Q 1RD
1
1D 1CP
23
&1
762 3 5 Q3 Q2 Q1 Q0 U/D
74LS191 LD D3 D2 D1 D0 G & 11 CP9 10 1 15 4 14;
9 11 12 Q3 Q2 Q1 Q0
74LS92(2) R0(1) CPBCPA
9 1 14
Q3 Q2 Q1 Q0 74LS90(5) R0(1R) 9(1C) PBCPA
9 Q3 Q2 Q1 Q0 74LS92(1) R0(1) CPBCPA
Q3 Q2 Q1 Q0 74LS90(4) R0(1R) 9(1C) PBCPA
&
1Hz 校时脉冲
&
&
1
分十位 进位脉冲
5.1k
2k
10k 7 6 2
0.1F
8 555 1
+5V
S2
3.3k
4
1kHz 3
5 0.01F
500Hz
12 11
Q0
Q3
74LS90(1)
CPA CPBR0(1)R9(1)
多功能数字钟的电路设计
多功能数字钟的电路设计
1.时钟计数器:使用数字逻辑门和触发器组成的计数器电路,用于实
现时钟的计数功能。
计数器需要能够准确地计时,并能够在到达一定计数
值时进行复位操作。
2.时钟显示器:使用数码管显示器来显示当前的时、分、秒。
每个数
码管都需要能够接收计数器输出的数值,并将其转换成对应的数字显示。
3.按键输入:多功能数字钟通常会包括一些功能设置,例如闹钟、日期、温度等。
因此需要设计一个按键输入电路,用于接收用户的按键输入,并实现对应的功能操作。
4.闹钟功能:在设计中可以添加一个闹钟电路,用于在特定时间发出
警报。
这个电路可以通过比较计数器的当前值和闹钟设定的时间值来判断
何时触发警报。
5.温度传感器:如果需要实现温度显示的功能,可以添加一个温度传
感器,将温度值转换成数字信号,并通过数码管显示出来。
6.日期功能:类似于时钟显示器,设计一个可以显示日期的电路。
可
以通过按键输入来设置日期,并将其显示在数码管上。
7.电源电路:为了供电整个电路,需要设计一个合适的电源电路,可
以通过插座或电池为电路提供稳定的电源。
在电路设计过程中,需要注意的是不同功能模块之间的连接与通讯方式,以及合理的信号处理和控制逻辑。
同时,还要考虑电路的稳定性、抗
干扰能力和功耗等方面的设计要求。
多功能数字钟电路设计
多功能数字钟电路设计摘要:多功能数字钟是一种用数字电路技术实现时、分、秒计时的装置。
无论是日常生活还是在工业应用计时领域,都发挥着重要的作用。
本系统进行了各单元的设计和调试,可以完成准确的完成计时、定时和校时。
电路由晶体振荡器、分频器、计数器、译码显示器和校时电路以及报时电路组成。
总体方案设计由主体电路和扩展电路两大部分组成。
本电路具有走时精度高,稳定性好,使用方便,价格便宜等特点。
关键词:石英晶振;分频器;计数器;校时;报时;数字钟1 引言在当今社会,时间是非常重要,尤其是随着信息大爆炸时代的来临,人们的时间观念越来越强,人们一切都是围绕时间来安排自己计划。
数字钟的数字显示清晰直观就能够为我们的日常生活提供便利。
它集成度高,时间准确,体积小,携带方便,而且报时功能,应用十分广泛。
2 总体设计方案2.1 设计思路利用石英晶振产生稳定度高的高频方波信号,将高频方波经分频电路分频为1HZ的脉冲,输入到六十进制的秒计数器,秒计数器和分计数器都是有一个个位十进制和十位六进制组成,当秒计数器的十位在清零时也向分六十进制的计数器个位发一个脉冲使分计数器加1,当分计数器的十位在清零时也同时向二十四进制时和十二进制计数器的个位发一个脉冲,使其加1。
将时,分,秒计数器的输出端分别接上译码器和显示器,最大显示值为23小时59分59秒,再输入一个秒脉冲后,显示复零。
并且能通过开关实现12与24的时时转换和上下午显示。
利用校准电路分别对时,分校准电路进行设计,另外又增加了报时电路。
本电路的报时电路利用秒个位计数器的状态进在接收分计数器和秒计数器的信号后完成在整点的报时。
2.2 总体设计框图本电路的设计由三部分组成,第一部分是晶振经过分频后产生的1HZ基脉冲;第二部分是主体电路完成多功能数字钟的显示功能;第三部分电路由校时和整点报时构成的扩展功能。
具体方框图如图1所示。
图1总体设计框图3 设计原理分析3.1秒脉冲产生电路脉冲产生电路如图2所示,为了保证基准时间的准确,采用了数字表中常用的32768晶体振荡产生电路发生器,该电路具有价格便宜,产生脉冲稳定性好的特点,这里选用R2为10M,R3为470k,R4为10K,C1为15pF,C2为也为15pF。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
学号:填入学号课程设计题目多功能数字钟电路设计学院信息工程学院专业通信工程班级通信0806姓名邱帆指导教师艾青松2010年 7 月 9 日课程设计任务书学生姓名:邱帆专业班级:通信0806指导教师:艾青松工作单位:武汉理工大学题目: 多功能数字钟电路设计初始条件:74LS90 9片,74LS191 一片74LS192 一片,74LS48、数码显示器各六片555定时器二片,74LS00 六片,74LS04 三片,74LS20 三片,电阻若干,电容,开关各五个,蜂鸣器三个,LED一个,导线若干。
要求完成的主要任务:用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟,要求如下:1产生1HZ标准秒信号。
2.秒、分为00-59六十进制计数器。
3.时为01-13 十二进制计数器。
4.可手动校正:能分别进行分、时的校正。
只要将开关置于手动位置。
可分别对秒、分、时进行连续脉冲输入调整。
5.整点报时。
整点报时电路要求在每个整点前鸣叫四次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。
6.定时控制,时间自定。
7.仿电台整点报时。
自动报整点时数或触摸报整点时数。
时间安排:第19周理论设计、实验室安装调试,地点:鉴主17楼九号实验室指导教师签名:年月日系主任(或责任教师)签名:年月日多功能数字钟电路设计摘要 (4)1系统原理框图 (6)2方案设计与论证 (7)2.1振荡器电路 (7)2.2分频器电路 (9)2.3时间计数器电路 (9)2.4译码驱动及显示单元电路 (10)2.5校时电路 (10)2.6仿广播电台整点报时电路 (12)2.7定时控制电路 (13)2.8自动(触摸)报整点时数电路 (13)2.9上下午指示电路 (15)3单元电路的设计 (16)3.1时间脉冲产生电路的设计 (16)3.2计数电路的设计 (16)3.2.1 60进制计数器的设计 (16)3.2.2 12进制计数器的设计 (17)3.3译码及驱动显示电路 (18)3.4 校时电路的设计 (18)3.5 仿电台整点报时电路 (19)3.6定时控制电路 (20)3.7自动(触摸)报整点时数电路 (21)3.8电路总图 (22)4仿真结果及分析 (23)4.1时钟结果仿真 (23)4.2 脉冲信号波形图 (23)4.3仿电台整点报时电路波形图 (24)4.4自动(触摸)报时电路 (26)4.5定时控制电路波形图 (27)4.6测试结果分析 (29)4.7设计中遇到的问题 (29)5心得与体会 (30)6参考文献 (31)附录1原件清单 (32)摘要数字钟是一种用数字电路技术实现日、时、分、秒计时的装置,与传统的机械式时钟相比,具有更高的准确性和直观性,且无机械传动装置,具有更更长的使用寿命,因此得到了广泛的使用。
小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。
本课程设计要用通过简单的逻辑芯片实现数字时钟。
要点在于用555芯片连接成输出一秒的多谐振荡器用于时钟的秒脉冲,用74LS90(10进制计数器)74LS192(4位二进制计数器)等连接成60和12进制的计数器,再通过七段数码管显示,外加上校时电路和整点报时电路即构成了简单数字钟。
扩展电路可实现定点报时功能。
AbstractA digital clock is a kind of digital circuit technology, while the timing, minutes and seconds, compared with the traditional mechanical clock, with higher accuracy and intuitive, and no mechanical device, has more longer service life, so it has been widely used. Small to People's Daily life in the electronic watch, stations, docks and airports, public place of large-scale digital electric clock.The course is designed to use simple logic chips digital clock. The point is to use a chip connected into 555 output of harmonic oscillator used in seconds the clock pulse, the use of 74LS90 (10), (4 74LS192 counter binary counter) connected into 60 and 12 in the counter, then through 7 digital display, plus the colonel tube when working on the circuit and the simple circuit is a digital clock. Expansion circuit can realize designated time functions.1系统原理框图数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计时的过程中必然会产生一定的误差,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟。
图1所示为数字钟的一般构成框图。
图 1系统原理框图该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲。
秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器,小时计数器采用12进制计数,并通过一LED来提示上下午。
计数器的输出经译码器送显示器。
计时出现误差时可以用标准时电路进行校时、校分、校秒。
扩展电路(整点报时系统)在主体电路正常运行的情况下才能进行扩展。
2方案设计与论证2.1振荡器电路振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度。
2.1.1方案一:振荡器的频率越高,计时精度越高。
通常选用石英晶体构成振荡器电路(如图2)。
石英晶体振荡器的作用是产生时间标准信号。
因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。
图 2 石英晶体振荡器图如果精度要求不高刚也可以采用由集成逻辑门与R、C组成的时钟源振荡器或由集成电路定时器555与R、C组成的多谐振荡器。
2.1.2方案二:定时器555与RC组成的多谐振荡器作为时间标准信号源。
图 3 555与RC组成的多谐振荡器图2.1.3方案三:由集成逻辑门与RC组成的时钟源振荡器。
图 4 门电路组成的多谐振荡器图石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。
用555组成的脉冲产生电路:经计算当 R1=20kΩ,R2=100kΩ,C=0.01μF,则555所产生的脉冲的为:f=1.43/[(R1+2*R2)C]=979Hz,而设计要求为1KHz,因此其误差为2.1%,在精度要求不是很高的时候可以使用。
由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取决于门电路的阈值电压VTH ,由于VTH容易受到温度、电源电压及干扰的影响,因此频率稳定性较差,只能用于对频率稳定性要求不高的场合。
综上分析,选择方案一,用555组成的脉冲产生电路做为信号源,它工作稳定而且误差较小,在此课设中可以较好的满足要求。
2.2分频器电路分频器的功能主要有两个:一是产生标准秒脉冲信号;二是提供功能扩展电路所需要的信号,如仿电台报时用的1KHz的高音频信号和500KHz的低音频信号等。
因此,可以选用3片我们较熟悉的中规模集成电路计数器74LS90可以完成上述功能。
因每片为1/10分频,3片级联则可获得所需要的频率信号,即每1片Q0端输出频率为500Hz,每2片Q3输出为10Hz,每3片的Q3端输出1Hz。
2.3时间计数器电路一般采用10进制计数器来实现时间计数单元的计数功能。
为减少器件使用数量,可选74LS90,其内部逻辑框图如图6所示。
该器件为双2-5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。
图 5 74LS90内部逻辑框图秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CPW(下降沿有效)相连即可。
CPR (下降沿有效)与1Hz秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPR相连。
秒十位计数单元为6进制计数器,需要进制转换,可以利用74LS90的有两个清零端的特点,在不用门电路的情况下实现10进制转6进制,具体电路见下面设计图。
分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同。
时计数单元电路是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个脉冲时,数字钟应自动显示01时00分00秒,实现日常生活习惯用的计时规律。
选用74LS192和74LS74实现。
74LS192实现时个位的十进制,74LS74实现时十位的二进制,电路如图8所示。
2.4译码驱动及显示单元电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。
用于驱动LED七段数码管的译码器常用的有74LS48。
74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且低电平有效,专用于驱动LED七段共阴极显示数码管。
如图9所示。
若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。
2.5校时电路2.5.1方案一:通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。
根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。
图4所示为所设计的校时电路。
图 6 方案一校正电路图2.5.2方案二:方案二与方案一相比,在开关两边多了0.01uf的电容防抖动。
图7方案二校正电路图2.5.3方案三:校准电路由基本RS触发器和“与”门组成,基本RS触发器的功能是产生单脉冲,主要作用是起防抖动作用。