数字系统设计试卷2012A卷

合集下载

专科《硬件描述语言和数字系统设计》_试卷_答案

专科《硬件描述语言和数字系统设计》_试卷_答案

专科《硬件描述语⾔和数字系统设计》_试卷_答案专科《硬件描述语⾔和数字系统设计》⼀、(共36题,共150分)1. reg类型的数组通常⽤于描述存储器,reg [15: 0] MEM [0:1023];定义存储器字的位数为(2分)A.1024B.16C.16384D.1040.标准答案:B2. 下列关于同步有限状态机的描述错误的是()(2分)A.状态变化只能发⽣在同⼀个时钟跳变沿;B.状态是否变化要根据输⼊信号,只要输⼊条件满⾜,就会⽴刻转⼊到下⼀个状态。

C.在时钟上升沿,根据输⼊信号的变化,确定电路状态D.利⽤同步状态机可以设计出极其复杂灵活的数字逻辑电路系统.标准答案:B3. 关于如下描述,正确的说法是( ) (2分)A.这种描述是错误的B.该电路不可综合C.该电路不可综合,但⽣成的不是纯组合逻辑D.以上说法都不对.标准答案:D4. 下列关于流⽔线的描述错误的是( ) (2分)A.流⽔线设计就是将组合逻辑系统地分割,并在各个部分(分级)之间插⼊寄存器,并暂存中间数据的⽅法;B.设计流⽔线⽬的是提⾼数据吞吐率C.流⽔线缩短了在⼀个时钟周期内给的那个信号必须通过的通路长度,从⽽可以提⾼时钟频率D.增加流⽔线长度可以节省更多延迟,流⽔线越长,⾸次延迟越⼤,系统频率就会降低。

.标准答案:D5. 以下关于Top-Down的设计⽅法不正确的描述是( ) (2分)A.Top-Down的设计⽅法⾸先从系统设计⼊⼿;B.Top-Down设计中的系统总体仿真与所选⼯艺有关C.Top-Down的设计⽅法从顶层进⾏功能划分和结构设计D.⾃顶向下的设计⽅法可以早期发现结构上的错误.标准答案:B6. 在verilog中,下列哪些操作⼀定是单bit?()(2分)A.==B.^C.>D.&&.标准答案:A,B,C,D7. 下⾯哪些是verilog的关键字()(2分)A.inputB.assignC.writeD.module.标准答案:A,B,D8. 全球主要的FPGA⼚家有()(2分)A.XilinxB.AlteraC.Broadcom/doc/1830848533687e21ae45a947.html ttice.标准答案:A,B,D9. ⼤规模数字逻辑设计原则,正确的说法有()(2分)A.异步设计原则B.组合时序电路分开原则C.⾯向RTL的原则D.先电路后代码的原则.标准答案:B,C10. 下⾯有关SRAM,DRAM的叙述,正确的有()(2分)A.DRAM存储单元的结构⽐SRAM简单B.DRAM⽐SRAM成本⾼C.DRAM⽐SRAM速度快D.DRAM要刷新,SRAM不刷新.标准答案:A,D11. 阻塞赋值与⾮阻塞赋值的差别及其各⾃的使⽤环境。

数据库原理试卷A答案

数据库原理试卷A答案

Sage smallint
不能为空
Sdept varchar 20 不能为空
表2 Sports表(描述运动项目实体)
属性名 类型 长度 约束
SportNo varchar 5
主码
SportName varchar 20 取值唯一
SportUnit varchar 10 不能为空
表3 SS表(描述学生与运动项目的联系)
务的解答扣 2 分。
3. 已知有三个事务的一个调度 R3(B)R 1(A)W3(B)R 2(B)R 2(A)W2(B)R 1(B)W1(A) ,试问该调度是
否是冲突可串行化调度?为什么?
答:该调度是冲突可串行化调度,理由如下:
R1(A) 和 W3(B) 是不冲突操作,交换位置可得:
R3(B)W3(B)R 1(A)R 2(B)R 2(A)W2(B)R 1(B)W1(A) R1(A) 和 R2(B) 、 R2(A) 、 W2(B) 均为不冲突操作,交换位置可得:
( 商店编号,商品编号 ) →部门编号( 1 分)
( 商店编号,部门编号 ) →负责人( 1 分)
( 商店编号,商品编号 ) →数量( 1 分)
(2) 找出关系模式 R 的候选码;
答: R的候选码是 ( 商店编号,商品编号 ) ( 2 分)
(3) 试问关系模式 R 最高已经达到第几范式?为什么?
答:因为 R 中存在着非主属性“负责人”对候选码 ( 商店编号、商品编号
Sname
Ssex
Sage
Sno
Sdept
Student m SS n Sports
Grade
SportNo
SportUnit
SportName
图3

《 数字系统设计 》试卷含答案

《   数字系统设计   》试卷含答案

,考试作弊将带来严重后果!华南理工大学期末考试《数字系统设计》试卷1. 考前请将密封线内各项信息填写清楚;所有答案请直接答在试卷上(或答题纸上);.考试形式:开(闭)卷;(每小题2分,共16分)大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理( C )CPLD即是现场可编程逻辑器件的英文简称;CPLD是基于查找表结构的可编程逻辑器件;早期的CPLD是从GAL的结构扩展而来;在Altera公司生产的器件中,FLEX10K 系列属CPLD结构;在VHDL语言中,下列对时钟边沿检测描述中,错误的是( D )then ...;then ...;then ...;在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,正确( A )PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一.敏感信号参数表中,应列出进程中使用的所有输入信号;进程由说明部分、结构体部分、和敏感信号参数表三部分组成;当前进程中声明的信号也可用于其他进程基于EDA软件的FPGA / CPLD设计流程,以下流程中哪个是正确的:( C )原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试;原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试。

关于综合,从输入设计文件到产生编程文件的顺序正确的是:(B).逻辑综合→高层次综合→物理综合;B. 高层次综合→逻辑综合→物理综合;C. 物理综合→逻辑综合→高层次综合;D. 高层次综合→逻辑综合→时序综合;6. 进程中的信号赋值语句,其信号更新是( C )A. 按顺序完成;B. 比变量更快完成;C. 在进程的挂起时完成;D. 都不对。

_2012(秋)江苏省笔试试卷_含参考答案

_2012(秋)江苏省笔试试卷_含参考答案

2012年(秋)笔试试卷(本试卷完成时间为120分钟)说明:1.本试卷包括计算机基础知识部分和程序设计部分。

2.本试卷满分为60分,分选择题和填空题,试卷不分A、B类型。

3.计算机基础知识部分全部是选择题(20分),程序设计部分分为选择题(10分)和填空题(30分)两种。

4.选择题用答题卡答题。

每一项有A、B、C、D四个可供选择的答案,请选择其中一个你认为正确的答案,用HB铅笔涂写在答题卡的相应答题号内。

每选对一项得1分,不选或选错不得分。

5.填空题用答题纸答题。

请将答案用蓝、黑色钢笔或圆珠笔填写在答题纸的相应答题号内,每个答案只占一行,不得换行。

每填对一个答案得1分,不填或填错不得分。

6.请认真填写并仔细核对答题卡和答题纸上的学校名称、准考证号和姓名是否填写正确。

7.考试结束时将试卷、答题卡和答题纸放在桌上,不得带走。

待监考人员收毕清点后,方可离场。

第一部分,计算机基础知识1.下列关于信息、信息技术、信息产业与信息化的叙述错误的是(1) 。

A.世间一切事物都在运动,都具有一定的运行状态,因而都在产生信息B.现代信息技术的主要特征之一是以数字技术为基础C.信息产业特指利用信息设备进行信息处理与服务的行业,它不包括任何生产制造行业D.信息化是一个推动人类社会从工业社会向信息社会转变的社会转型的过程2.下列关于数字技术与微电子技术的叙述错误的是(2) 。

A.数字技术的处理对象是“比特”,它只有两种取值,即数字0和数字1B,数据通信和计算机网络中传输二进制信息时,传输速率的度量单位通常为B/s、KB /s、MB/s等C.微电子技术是实现电子电路和电子系统超小型化及微型化的技术,它以集成电路为核心D.Intel公司创始人之一摩尔曾发表论文预测,·单块集成电路的集成度平均每18-24个月翻一番3.在以下选项中,数值相等的一组数是(3) 。

A.十进制数54020与八进制数54732B.八进制数13657与二进制数11C,十六进制数F429与二进制数01101D.八进制数7324与十六进制数B934.下列关于台式PC机CPU的叙述错误的是(4) 。

2012年5月份全国GIS应用水平考试试卷(一级)

2012年5月份全国GIS应用水平考试试卷(一级)

( ) ( )并在程序控制下完成数据输入和数据输出( )( )( )B 、空间分析和地理现象的模拟比较容易。

C 、图形显示质量好、精度高,软件与硬件的技术要求也比较高。

D 、有利于与遥感数据的匹配与应用。

10、GIS 所包含的数据均与_______。

( )A 、地理空间位置相联系B 、非空间属性相联系C 、地理事物的质量特征相联系D 、地理事物的类别相联系11、在GIS 中明确定义空间结构关系的数学方法称为: ( )A 、拓扑关系B 、关联关系C 、包含关系D 、邻接关系12、下列给出的方法中,哪种方法可获取矢量数据? ( )A 、遥感信息提取B 、手工网格法C 、手扶跟踪数字化法D 、扫描法13、下列属于地图整饰要素的是: ( )A 、外图廓、图名、接图表、图解B 、外图廓、图名、图例、统计图C 、内图廓、图名、图例、编图单位D 、内图廓、图名、图例、坡度尺 14、以下描述不正确的是: ( )A 、在计算地图投影或制作地图时,将地球椭球按一定的比率缩小而表示在平面上,这个比率称为地图的主比例尺。

B 、地图上除保持主比例尺的点或线以外的其他部分的比例尺称为局部比例尺。

C 、主比例尺只有在计算地图投影时才用到。

D 、在地图投影时,变形的大小与比例尺有关。

15、SQL 是一种介于关系代数与关系演算之间的结构化查询语言,下面关于其特点描述错误的是: ( )A 、综合统一,高度过程化B 、面向集合的操作方式C 、以同一种语法结构提供两种使用方式D 、语言简单,易学易用16、下列对于各种坐标系的描述中正确的是: ( ) A 、在高斯—克吕格投影中,由于每一个投影带的坐标都是此点对本带坐标原点的相对值,所以如果不在横轴坐标前加上带号,就会造成各带坐标相同的现象。

B 、正高表示地面点到似大地水准面的垂直距离;正常高是指空间任一点沿该点处垂线至大地水准面的距离。

C 、基准面是在椭球体的基础上建立的,可以这样说:基准面和椭球体之间存在一对一的关系。

《数字系统设计》总复习题

《数字系统设计》总复习题

《数字系统设计》复习题一、选择题1.一个项目的输入输出端口是定义在。

A.实体中B.结构体中C.任何位置D.进程体2.描述项目具有逻辑功能的是。

A.实体B.结构体C.配置D.进程3.关键字ARCHITECTURE定义的是。

A.结构体B.进程C.实体D.配置4. MAXPLUSII中编译VHDL源程序时要求。

A.文件名和实体可以不同名B.文件名和实体名无关C.文件名和实体名要相同D.不确定5. 1987标准的VHDL语言对大小写是。

A.敏感的B.只能用小写C.只能用大写D.不敏感6.关于1987标准的VHDL语言中,标识符描述正确的是。

A.必须以英文字母开头B.可以使用汉字开头C.可以使用数字开头D.任何字符都可以7.关于1987标准的VHDL语言中,标识符描述正确的是。

A.下划线可以连用B.下划线不能连用C.不能使用下划线D.可以使用任何字符8.符合1987VHDL标准的标识符是。

A. A_2B. A+2C. 2AD. 229.符合1987VHDL标准的标识符是。

A. a_2_3B. a_2C. 2_2_aD. 2a10.不符合1987VHDL标准的标识符是。

A. a_1_inB. a_in_2C. 2_aD. asd_111.不符合1987VHDL标准的标识符是。

A. a2b2B. a1b1C. ad12D. %5012. VHDL语言中变量定义的位置是。

A.实体中中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置13. VHDL语言中信号定义的位置是。

A.实体中任何位置B.实体中特定位置C.结构体中任何位置D.结构体中特定位置14.变量是局部量可以写在。

A.实体中B.进程中C.线粒体D.种子体中15.变量和信号的描述正确的是。

A.变量赋值号是:=B.信号赋值号是:=C.变量赋值号是<=D.二者没有区别16.变量和信号的描述正确的是。

A.变量可以带出进程B.信号可以带出进程C.信号不能带出进程别17.关于VHDL数据类型,正确的是。

(完整word版)数字系统设计试卷2012A卷(word文档良心出品)

(完整word版)数字系统设计试卷2012A卷(word文档良心出品)

中国矿业大学2012~2013学年第一学期《数字系统设计基础》试卷(A)卷考试时间:100 分钟考试方式:闭卷学院_________班级_____________姓名___________学号____________一、选择题(20分,每题2分)1.不完整的IF语句,其综合结果可实现:_________A. 三态控制电路B. 条件相或的逻辑电路C. 双向控制电路D. 时序逻辑电路2.关于进程语句说法错误的是_________A. PROCESS为一无限循环语句(执行状态、等待状态)B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性C. 进程必须由敏感信号的变化来启动D. 变量是多个进程间的通信线3、对于VHDL以下几种说法错误的是___________A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成C. VHDL程序中是区分大小写的D.结构体描述元件内部结构和逻辑功能4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。

A. 实体与结构体之间的连接关系;B. 器件的内部功能;C. 实体使用的库文件;D. 器件外部可见特性如端口的数目、方向等5. 组合逻辑电路中的毛刺信号是由于______引起的。

A. 电路中存在延迟B.电路不是最简C. 电路有多个输出D.电路中使用不同的门电路6. 下列关于临界路径说法正确的是___________A. 临界路径与系统的工作速度无关B. 临界路径减小有助于缩小电路规模C. 临界路径减小有助于降低功耗D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径7. 关于FPGA和CPLD的区别说法正确的是___________A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑B. FPGA 的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而CPLD的分段式布线结构决定了其延迟的不可预测性C. 在编程上CPLD 比FPGA具有更大的灵活性D. CPLD的集成度比FPGA高,具有更复杂的布线结构和逻辑实现。

数字系统设计期中试卷(09级)

数字系统设计期中试卷(09级)
6.()的功能是在地址选择信号的控制下,分时从多路输入数据中选择一路作为输出。
A.数据分配器B.数据选择器C.编码器D.译码器
7.下面列出的选项中,()不是消除竞争-冒险的方法。
A.修改逻辑设计B.接入滤波电容C.引入选通脉冲D.进行时序仿真
8.设x是一个STD_LOGIC_VECTOR(7 DOWNTO 0)类型的信号,则信号赋值语句x<=’0’ & x(7 DOWNTO 1)的作用是将x()。
2.一个逻辑函数可有多种表达形式,下面几种形式中,()一定是唯一的。
A.最小项表达式B.最简或与式C.最简与或式
3.8位二进制补码能够表示的十进制有符号数的范围是()
A.0255B.-128+127C.-255+255D.-127+127
4.根据对偶规则可写出F=A+((BC)+B(A+C))的对偶式为FD=()。
6.可以将若干个TTL与非门的输出直接连接在一起,实现线与的功能。()
7.利用逻辑门实现某逻辑函数时,逻辑门的多余输入端可以悬空。()
8.在VHDL程序中,为了能够读取某输出信号的状态,应将它设置为BUFFER模式。()
9.在VHDL语法中,变量赋值是立即生效的,不允许出现附加延时。()
10.一个逻辑门可以有两个扇出系数,分别是输出高电平时和输出低电平时的扇出系数。()
3.(10分)试用一个8选1的数据选择器及反相器设计一个逻辑电路,完成下面的功能(A、B为数据输入端)。画出逻辑电路图,并写出设计过程。
S1S0
F
0 0
AB
0 1
A+B
1 0
A⊙B
1 1
AB
2.含2个逻辑变量的逻辑函数F=AB+AB的值恒等于1。()

2012年A卷有答案资料

2012年A卷有答案资料

2012年内蒙古移动网络运行维护技能竞赛试题A卷(传送网---传输设备)代表队名称:____________________ 姓名:_______________________注意事项:A. 本试卷是2012年内蒙古移动网络运行维护技能竞赛试题,考试时间为120分钟,闭卷考试。

B. 本试卷分值为100分,总计9页,考生拿到试卷后请仔细严查试卷是否完整。

C. 应考人员在答题前,请将所在公司名称或代表队名称、本人姓名认真准确地填写在试卷纸上。

D. 请应考人员将答案最终写在答题纸上。

答题时,要字迹工整,保持卷面干净。

E. 应考人员应严格遵守考场纪律,服从监考人员的监督和管理,凡考场舞弊不听劝阻或警告者,监考人员有权终止其考试资格,没收试卷,以0分处理。

F. 考试结束,应考人员应停止答卷,离开考场。

监考人员收卷后,对答卷进行装订、密封,进行评判,试卷、答题纸及验算纸不得带离考场。

一、填空题(每题中每空 1分,共15分)1、 STM-N 勺帧长是(125*10-6 )秒,其帧结构由段开销、信息净负荷和(管理指针组成)。

2、 STM-N 勺帧结构含有的字节数是:(9*270*N )。

3、在波分复用系统中,对分波/合波器件的要求是(插入)损耗小、隔离度高和通带范围宽4、 某工程组环网,四个网元,每个网元均上/下16个2M 其网元名称为(ADM ; 因用于集中型业务采用(两纤通道保护环)保护方式。

5、 G.821误码性能事件的定义是:EB 丘3和(SES 。

& 网元的创建与删除、链路的创建与删除属于传输网管的(配置管理)功能。

7、 光接收机的动态范围是指在保证一定的系统误码率要求的情况下, 接收机的 (最大允许输入光功率)和(最小输入光功率)之比。

8、 为承载全速率的GbE 业务,至少需要使用(7)个VC4的级联。

9、 M PLSB 签一共有4个字节,其中Label 字段有(20)个比特、EXP 字段有(3) 个比特、TTL 字段有8个比特。

Verilog试题2012(A答案)

Verilog试题2012(A答案)

北京航空航天大学2011 ~2012 学年第二学期 数字EDA 期末考试试卷( 2012 年 5 月 23 日)班级:__________;学号:______________;姓名:__________________;成绩:___________注意事项:1、填空题与选择题直接在试题上作答2、设计题在答题纸上作答正题:一、填空题(共30分,每道题3分)1. 写出表达式以实现对应电路的逻辑功能。

F2. 根据图中输入输出关系将Verilog模块定义补充完整,其中信号A 为5比特宽度,其余信号为1比特宽度。

A 宽3. IEEE 标准的硬件描述语言是 verilog HDL 和 VHDL 。

4. 你所知道的可编程逻辑器件有(至少两种): FPGA, CPLD, GAL, PAL (任写其二) 。

5. 假定某4比特位宽的变量a 的值为4’b1011,计算下列运算表达式的结果6. Verilog 语言规定了逻辑电路中信号的4种状态,分别是0,1,X 和Z 。

其中0表示低电平状态,1表示高电平状态,X 表示 不定态(或未知状态) ,Z 表示 高阻态 。

assign F= E ^ ( (A&B) | (!(C&D)))module tblock( A,B,C ) ; output [4:0] A;input B;inout C; …… //省略了功能描述endmodule //模块结束 &a = 1’b0 ~a = 4’b0100 {3{a}} = 12’b101110111011 {a[2:0],a[3]} = 4’b0111 (a<4’d3) || (a>=a) = 1’b1 !a = 1’b07. 下面两段代码中信号in ,q1,q2和q3的初值分别为0,1,2和3,那么经过1个时钟周期后,左侧程序中q3的值变成 0 ,右侧程序中q3的值变成 2 。

8. Verilog 语言规定的两种主要的数据类型分别是 wire(或net) 和 reg 。

2012年TI杯 ABC全套真题

2012年TI杯 ABC全套真题

全国大学生电子设计竞赛2012年TI杯模拟电子系统专题邀请赛试题高效LED驱动电路(A题)一、任务设计制作一个高效LED驱动电路,驱动一个由5只白光LED(现场统一提供)串联组成的发光装置,要求点亮的LED无明显闪烁。

整体电路必须采用3.3V单路直流稳压电源供电,不得采用额外供电方式。

限定采用TI公司TPS61040作为DC-DC变换器核心芯片。

整个电路供电电源采用下图1方式。

图1 LED发光装置供电方式示意图二、要求(1)开关S1初始为接通状态,可对电容C充电至3.3V。

用单片机控制LED 发光管亮度。

流过LED的平均电流可通过按键控制。

上电初始值为1mA,变化范围为1mA~22mA可循环,步进为3mA,控制精度为±0.2mA,并尽量提高控制精度。

(2)开关S1断开后,电路由电容C供电。

控制LED驱动电路,在保证LED 串上电流不小于0.5mA的前提下,尽可能延长对LED的供电时间。

电路中不得采用容量大于4.7μF的其他电容。

(3)用单片机检测流过LED串的电流。

在(1)、(2)中当流过LED串的电流在1mA-0.5mA之间时,单片机开发板上的LED指示灯熄灭;当流过LED串的电流大于1mA或小于0.5mA时,点亮LED指示。

(4)其他。

三、评分标准四、说明(1)可以利用单片机内置PWM或外接DAC控制DC—DC变换器调节LED串的电流。

(2)测试时,使用测试专用电容C及LED串。

(3)需按图中要求,电流表串接在LED电路中;电容C及LED串须留有接线柱,便于测试时更换测试专用LED及电容器C。

(4)测试过程中,除了更换电容和LED串及按键外,不能更改硬件与接线。

2012年TI杯模拟电子系统专题邀请赛试题简易电子秤(B题)一、任务使用现场所提供的电阻应变片称重传感器、运算放大器等器件,设计并制作一简易电子秤。

二、要求(1)以数字方式稳定地显示被测物体重量,称重稳定时间不超过5秒;(2)称重范围为10~200g,通过校正补偿称重传感器的非线性,在全称重范围内称重精度优于1%,并尽量提高称重精度;(3)实现“去皮”功能,即可以重新设定“零”重量点;(4)实现单价设置与计价功能,即在设置单价后根据重量计算金额,最大金额为999.9元,金额误差不大于0.1元;(5)具备“休眠”与“唤醒”功能,以降低功耗;(6)其他。

2012 数字系统设计期末试卷(10级)A卷

2012 数字系统设计期末试卷(10级)A卷
A.奇校验码产生电路
B.多数表决电路
C.偶校验码产生电路D.二选一数据选择电路
8.图1电路由2个传输门TG1、TG2和3个或非门G1、G2、G3组成,其功能是()。
A.主从结构D触发器B.边沿触发D触发器
C.同步D触发器D.反相器
9.图2中,74290是异步二-五-十进制计数器,下降沿触发;CLK0是二进制计数器脉冲输入,Q0是输出;CLK1是五进制计数器脉冲输入,Q3Q2Q1是输出;R01、R01为异步清零端,高电平有效。则图2的电路的功能是()。
2)画出电路连接图。(6分)
4)当分频控制信号Y1Y0取何值时,输出F1的频率最高?F1的最高频率为多少?(2分)
5)当分频控制信号Y1Y0取何值时,输出F2的频率最低?F2的最低频率为多少?(2分)
六、设计题:根据要求设计电路,写出设计步骤(8+10=18分)
1.(8分)右图为的带有异步复位端的T触发器:
1)写出该T触发器的特性表;(2分)
1D0-1D3、2D0-2D3是两组独立的数据输入端;
A1、A0是公共地址输入端;
1F、2F分别是两组4选1数据选择器的输出端;
1)分析该电路中74HC161的作用,74HC253的作用,以及电路的分频工作原理。(4分)
2)当分频控制信号Y1Y0=10时,输出信号F1和F2的频率为多少?(4分)
3)欲使信号F1的频率为64KHz,分频控制信号Y1Y0应该取什么值?(2分)
四、逻辑函数分析及化简,写出步骤(10分)
1.某逻辑电路的输入D4D3D2D1是8421BCD码,电路输出Y4Y3Y2Y1为2421码(2421码的名字来源于每一位的权重,2421码中1011相当于十进制数2+0+2+1=5,1100相当于十进制数2+4+0+0=6)。

2012高级语言程序设计考卷A及参考答案

2012高级语言程序设计考卷A及参考答案

…………试卷装订线………………装订线内不要答题,不要填写考生信息………………试卷装订线……………………试卷装订线………………装订线内不要答题,不要填写考生信息………………试卷装订线……………………装订线………………装订线内不要答题,不要填写信息………………装订线…………武汉理工大学考试试题答案(A卷)2012 ~2013 学年1 学期高级语言程序设计课程二、填空题(本大题共 5 小题,每空1分,共10 分)1.0 12.递归递归3.定义变量的位置源程序文件4. 堆区栈区5. 5 6三、判断题(本大题共 5 小题,每小题2分,共10 分)1.(√)2.(x)3.(x)4.(x)5.(√)四、程序阅读题(本大题共 4 小题,每小题5分,共20 分)1.30 2.253.27 4.ABCDE五、程序填空题(本大题共2小题,每空2分,共10 分)1.(1)x2=x1 (2)day—(或day=day-1)2.(1)i/1000 (2)a+c==b*d (3)break六、程序编写题(本大题共 3 小题,每小题10 分,共30 分)1.#include<iostream.h>int main() {int i,x,sum;sum=0;for(i=1;i<=20;i++){cin>>x;if (x>0)sum+=x;}cout<<"Sum="<<sum<<endl;return 0;}2.void invert( int a[], int k){int t;if( k>1){invert(a+1,k-2);t=a[0];a[0]=a[k-1];a[k-1]=t;}} (注:如果写成非递归函数,最多给5分)3.int count(node *head,int x){int i=0;node *p;p=head;while(p!=NULL){if(p->data==x) i++;p=p->next;}return i;}。

信息科学基础2012A卷及答案

信息科学基础2012A卷及答案

信息科学基础2012A卷及答案河北科技⼤学2012——2013 学年第⼀学期《信息科学基础》试卷(A ′)学院理学院班级姓名学号⼀、简答题(共8题,每题5分)1.居住某⼀地区的⼥孩中有25%是⼤学⽣,在⼥⼤学⽣中有75%是⾝⾼1.6⽶以上的,⽽⼥孩中⾝⾼1.6⽶以上的占总数⼀半。

假如我们得知“⾝⾼1.6⽶以上的⼥孩是⼤学⽣”的消息,问获得多少信息量?2.有⼀个马尔可夫信源,已知转移概率为112(/)3p S S =,211(/)3pS S =,12(/)1p S S =,22(/)0p S S =。

试画出状态转移图,并求出信源熵。

3.设⼆进制对称信道的传递矩阵为21 33 12 33(1)若P(0)=3/4,P(1)=1/4,求H(X)、H(X/Y)、H(Y/X)和I(X;Y);(2)求该信道的信道容量及其达到信道容量的输⼊概率分布。

4. 求以下三个信道的信道容量:,,5.有⼀信源,它有6个可能的输出,其概率分布如下表所⽰,表中给出了对应的码A,B,C,D,E和F。

(1)求这些码中哪些是唯⼀可译码;(2)求哪些是⾮延长码(即时码);(3)对所有唯⼀可译码求出其平均码长L。

6.解释等长信源编码定理和⽆失真变长信源编码定理,说明对于等长码和变长码,最佳码的每符号平均码长最⼩为多少?编码效率最⾼可达多少?7. 设某⼆元码书C={111000,001011,010110,101110},①假设码字等概率分布,计算此码的编码效率?②采⽤最⼩距离译码准则,当接收序列为110110时,应译成什么码字?8. 设有⼀离散⽆记忆信道,其信道矩阵为111236111623111362P ??=,若11()2p x =,231()()4p x p x ==。

试求最佳译码时的平均错误概率。

⼆、综合题(共6题,每题10分)1. 某⼀信道,其输⼊X 的符号集为10,,12,输⼊Y 的符号集为{}0,1,信道矩阵为10112201P=,现有4个消息的信源通过这信道传输(消息等概率出现),若对信源进⾏编码,我们选这样⼀种码,1211:{(,,,)}22C x x 01(1,2)i x i ==或其码长为4n =。

数字信号处理试卷和答案

数字信号处理试卷和答案

北京信息科技大学2010 ~2011 学年第一学期《数字信号处理》课程期末考试试卷(A)一、填空题(本题满分30分,共含4道小题,每空2分)1.两个有限长序列x1(n),0≤n≤33和x2(n),0≤n≤36,做线性卷积后结果的长度是,若对这两个序列做64点圆周卷积,则圆周卷积结果中n= 至为线性卷积结果。

2.DFT是利用nkW的、和三个固有特性来实现FFT快速运算的。

N3.IIR数字滤波器设计指标一般由、、和等四项组成。

4.FIR数字滤波器有和两种设计方法,其结构有、和等多种结构。

二、判断题(本题满分16分,共含8道小题,每小题2分,正确打√,错误打×)1.相同的Z变换表达式一定对应相同的时间序列。

()2.Chirp-Z变换的频率采样点数M可以不等于时域采样点数N。

()3.按频率抽取基2 FFT首先将序列x(n)分成奇数序列和偶数序列。

()4.冲激响应不变法不适于设计数字带阻滤波器。

()5.双线性变换法的模拟角频率Ω与数字角频率ω成线性关系。

()6.巴特沃思滤波器的幅度特性必在一个频带中(通带或阻带)具有等波纹特性。

()7.只有FIR滤波器才能做到线性相位,对于IIR滤波器做不到线性相位。

()8.在只要求相同的幅频特性时,用IIR滤波器实现其阶数一定低于FIR阶数。

()三、综合题(本题满分18分,每小问6分)若x (n)= {3,2,1,2,1,2 },0≤n≤5,1) 求序列x(n)的6点DFT,X (k)=?2) 若)()]([)(26k X W n g DFT k G k ==,试确定6点序列g(n)=? 3) 若y(n) =x(n)⑨x(n),求y(n)=?四、IIR 滤波器设计(本题满分20分,每小问5分)设计一个数字低通滤波器,要求3dB 的截止频率f c =1/π Hz ,抽样频率f s =2 Hz 。

1. 导出归一化的二阶巴特沃思低通滤波器的系统函数H an (s)。

《数字系统设计》试卷A部分答案PPT教学课件

《数字系统设计》试卷A部分答案PPT教学课件

2
(1)
idle
1
r1
0 g1
1
r2
0 g2
0
1
r3
g3
1
r1
0
1
0
r2
1 0
r3
2020/12/10
(2)
idle
r3='1' and
Y
k1k2="11"
N
Y
r1='1'
N
r2='1'
N
r3='1'
Y
Y N
S3 g3='1' k1='0' k2='0'
S1 k1='1' g1='1'
S2 k2='1' g2='1'
each clock cycle in steady-state simulation(ignore any irregularities in the first few clock cycles) • 2)for full marks, if the code does not match, you must explain why. • 3) assume that all signals, constrants, variables, types, etc are properly defined and declared. • 4) all of the codes are leagal, synthesizable VHDL code.
Architecture a of q is Begin
process begin a <= ‘1’; loop wait until rising_edge(clk); a <= NOT a; end loop;

2012考研计算机真题及答案解析(详细)

2012考研计算机真题及答案解析(详细)

2012年全国硕士研究生入学统一考试计算机科学与技术学科联考计算机学科专业基础综合试题一、单项选择题:第1~40小题,每小题2分,共80分。

下列每题给出的四个选项中,只有一个选项最符合试题要求。

1.求整数n(n≥0)阶乘的算法如下,其时间复杂度是()。

int fact(int n){if(n<=1)return 1;return n*fact(n-1);}A.O(log2n)B.O(n)C.O(nlog2n)D.O(n2)2.已知操作符包括‘+’、‘-’、‘*’、‘/’、‘(’和‘)’。

将中缀表达式a+b-a*((c+d)/e-f)+g转换为后缀表达式ab+acd+e/f-*-g+时,用栈来存放暂时还不能确定运算次序的操作符。

若栈初始时为空,则转换过程中同时保存在栈中的操作符的最大个数是()。

A.5B.7C.8D.113.若一棵二叉树的前序遍历序列为a,e,b,d,c,后序遍历序列为b,c,d,e,a,则根结点的孩子结点()。

A.只有e B.有e、b C.有e、c D.无法确定4.若平衡二叉树的高度为6,且所有非叶结点的平衡因子均为1,则该平衡二叉树的结点总数为()。

A.12B.20C.32D.335.对有n个顶点、e条边且使用邻接表存储的有向图进行广度优先遍历,其算法的时间复杂度是()。

A.O(n)B.O(e)C.O(n+e)D.O(n×e)6.若用邻接矩阵存储有向图,矩阵中主对角线以下的元素均为零,则关于该图拓扑序列的结论是()。

A.存在,且唯一B.存在,且不唯一C.存在,可能不唯一D.无法确定是否存在7.对如下有向带权图,若采用迪杰斯特拉(Dijkstra)算法求从源点a到其他各顶点的最短路径,则得到的第一条最短路径的目标顶点是b,第二条最短路径的目标顶点是c,后续得到的其余各最短路径的目标顶点依次是()。

A.d,e,f B.e,d,fC.f,d,e D.f,e,d8.下列关于最小生成树的说法中,正确的是()。

2012年系统架构师考试题详解

2012年系统架构师考试题详解

1 考试科目一:综合知识1.1 假设系统中有n个进程共享3台打印机,任一进程在任一时刻最多只能使用1台打印机。

若用PV操作控制n个进程使用打印机,则相应信号量S的取值范围为(1);若信号量S的值为-3,则系统中有(2)个进程等待使用打印机。

2012年(1)A.0,-1,…,-(n-1)B.3,2,1,0, -1,•••, - (n-3)C.C. 1, 0, -1,•••, - (n-1)D.2, 1, 0, -1, •••, - (n-2)2012年(2)A.0B.1C.2D.3【答案】B D 【解析】本题考查操作系统进程管理方面的基础知识。

试题(1)的正确答案为选项B。

根据题意,假设系统中有n个进程共享3台打印机,意味着每次只允许3个进程进入互斥段,那么信号量的初值应为3。

可见,根据排除法只有选项B中含有3。

试题(2)的正确答案为选项D。

信号量S的物理意义为:当S多0时,表示资源的可用数;当S<0时,其绝对值表示等待资源的进程数。

1.2 假设文件系统采用索引节点管理,且索引节点有8个地址项iaddr[0]〜iaddr[7],每个地址项大小为4B, iaddr[0]〜iaddr[4]采用直接地址索弓丨,iaddr[5]和iaddr[6]采用一级间接地址索引,iaddr[7]采用二级间接地址索引。

假设磁盘索引块和磁盘数据块大小均为1KB字节,文件Filel的索引节点如下图所示。

若用户访问文件Filel中逻辑块号为5和261的信息,则对应的物理块号分别为(3);101号物理块存放的是(4)。

2012年(3)A.89和90B.89和136C.58和187D.90和1362012年(4)A.Filel的信息B.直接地址索引表C.一级地址索引表D.二级地址索引表【答案】C D 【解析】本题考查操作系统文件管理方面的基础知识。

根据题意,磁盘索引块为1KB,每个地址项大小为4B,故每个磁盘索引块可存放1024/4=256个物理块地址。

选择题数字系统设计

选择题数字系统设计

选择题数字系统设计1.将设计的系统或电路按照EDA开发软件要求的某种形式表⽰出来,并送⼊计算机的过程称为( 1 )。

①设计输⼊②设计输出③仿真④综合2.VHDL属于( 2 )描述语⾔。

①普通硬件②⾏为③⾼级④低级3.在设计处理过程中,可产⽣供器件编程使⽤的数据⽂件,对于FPGA来说是产⽣( 2 )⽂件。

①熔丝图②位流数据③图形④仿真5.在EDA ⼯具中,能将硬件描述语⾔转化为硬件电路的重要⼯具软件称为( 2 )。

①仿真器②综合器③适配器④下载器6、在下列可编程逻辑器件中,属于易失性器件的是( C )。

A、PROMB、CPLDC、FPGAD、PAL7、以下四种PLD器件那个不是⾮易失型的( D ).A、PROMB、EPLDC、EEPROMD、基于SRAM编程元件8、以下选项中其结构是由可编程与阵列和可编程或阵列构成的是( C )?A、PROMB、PLAC、PLAD、GAL9、⼀下编程软件不是⽤浮栅编程技术的是( D )A、EPROMB、EEPROMC、FLASHD、PROM10、将由综合器产⽣的⽹表⽂件配置于指定的⽬标器件中,并产⽣最终的可下载⽂件的是( B )?A、综合器B、适配器C、下载器B 软核C 硬核D ⿊核12.下类属于功能彷真的是(D)A 考虑信号的时延B考虑信号的⼤⼩C 考虑信号的规律D不考虑信号的时延13.下类不属于简单PLD的是(B)A PLAB PROMC PALD GAL14、EDA技术发展的第⼆阶段是(B)A、CADB、CAEC、EDAD、PLD15、(B)指的是以版图形式实现的设计模块。

A、软核B、硬核C、固核D、散核16、可编程逻辑阵列是(C)A、PROMB、PALC、PLAD、GAL17、查找表的原理类似于ROM,其物理结构是静态存储器(SRAM),N个输⼊项的逻辑函数可以由⼀个(A)位容量的SRAM来实现。

A、2NB、21-NC、21+ND、2N-118、Quartus Ⅱ进⾏EDA设计开发过程中的综合、适配属于(D)步骤中。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

中国矿业大学2012~2013学年第一学期
《数字系统设计基础》试卷(A)卷
考试时间:100 分钟考试方式:闭卷
学院_________班级_____________姓名___________学号____________
一、选择题(20分,每题2分)
1.不完整的IF语句,其综合结果可实现:_________
A. 三态控制电路
B. 条件相或的逻辑电路
C. 双向控制电路
D. 时序逻辑电路
2.关于进程语句说法错误的是_________
A. PROCESS为一无限循环语句(执行状态、等待状态)
B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性
C. 进程必须由敏感信号的变化来启动
D. 变量是多个进程间的通信线
3、对于VHDL以下几种说法错误的是___________
A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义
元件的引脚
B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成
C. VHDL程序中是区分大小写的
D.结构体描述元件内部结构和逻辑功能
4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。

A. 实体与结构体之间的连接关系;
B. 器件的内部功能;
C. 实体使用的库文件;
D. 器件外部可见特性如端口的数目、方向等
5. 组合逻辑电路中的毛刺信号是由于______引起的。

A. 电路中存在延迟
B.电路不是最简
C. 电路有多个输出
D.电路中使用不同的门电路
6. 下列关于临界路径说法正确的是___________
A. 临界路径与系统的工作速度无关
B. 临界路径减小有助于缩小电路规模
C. 临界路径减小有助于降低功耗
D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径
7. 关于FPGA和CPLD的区别说法正确的是___________
A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑
B. FPGA 的连续式布线结构决定了它的时序延迟是均匀的和可预测的,而CPLD的分段式布线结构决定了其延迟的不可预测性
C. 在编程上CPLD 比FPGA具有更大的灵活性
D. CPLD的集成度比FPGA高,具有更复杂的布线结构和逻辑实现。

8.ENTITY counter IS
PORT( Clk : IN STD_LOGIC; Q : BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));
END ________;
A. counter23
B. counter
C. work
D. entity
9. 下列关于并行和顺序语句的说法中,错误的是_________
A. 顺序语句的书写过程与执行过程基本一致
B. IF语句属于顺序语句
C. 块语句属于顺序语句
D. 并行语句中的各语句之间可有信息往来,也可以互相独立、互不相关
10. 基于EDA软件的CPLD/FPGA设计流程,以下流程哪个是正确的______
A. 原理图/HDL文本输入→适配→综合→时序仿真→编程下载→功能仿真→硬件测试
B. 原理图/HDL文本输入→功能仿真→综合→时序仿真→编程下载→适配→硬件测试
C. 原理图/HDL文本输入→功能仿真→综合→适配→时序仿真→编程下载→硬件测试
D. 原理图/HDL文本输入→适配→时序仿真→编程下载→功能仿真→综合→硬件测试
二、简答题(36分)
1、简述面向FPGA的开发流程(6分)
原理图hdl文本编辑,综合,fpga适配,fpga编程下载。

2、FPGA器件为什么会产生延迟?仿真δ的作用?(6分)
分有固有延时和传输延时,固有延时也叫惯性延时任何电子器件都有固有延时。

传输延时是指信号传输推迟或推迟了一个时间段。

作用是使并行语句和顺序语句能顺利的执行。

3、分别写出三种顺序语句和并行语句?(6分)
Case语句,process语句,if语句并置操作符。

Loop循环语句next语句
简单信号赋值语句,块语句,元件例化语句。

4、变量与信号使用时有何区别?(6分)
结构体内都能试用,
只能在进程中试用
5、状态机的基本结构和每一部分的作用。

(6分)
1,说明部分说明部分使用type语句来定义新的数据类型
2,主控时序进程负责状态机运转和在时钟驱动下负责状态转换的过程
3,主控组合进程根据外部输入的控制信号,以及状态机内部的信号和当前状态值,确定下一状态的取向。

和确定内部其他组件输出控制信号
4辅助进程用来配合状态机的时序进程和组合进程。

6、请阐述重载函数的定义以及重载函数的调用方法。

(6分)
同样名称的函数可以用不同的数据类型作为函数的参数来定义多次。

三、程序填空(10分)
下面程序是转换函数CONV_INTEGER()完成的3-8译码器的设计程序,试将5处程序补充完整。

Library ieee ;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY decoder3to8 IS
PORT ( input: IN STD_LOGIC_VECTOR ( DOWNTO 0);
output: OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0));
END decoder3to8;
ARCHITECTURE behave OF decoder3to8 IS
BEGIN
PROCESS ( )
BEGIN
END PROCESS;
END behave;
四、程序题(34分)
1.请编写程序。

(10分)
程序要求:用VHDL设计一家用告警系统的控制逻辑,系统如图1所示,告警系统有来自传感器的三个输入信号smoke、door、water和准备传输到告警设备的三个输出触发信号fire_alarm、burg_alarm、water_alarm以及使能信号en 和alarm_en(使能信号均为低电平有效)。

图1家用告警系统的控制逻辑电路图
2.试编写一个过程实现一位半加器功能,过程名为halfadder,输入为INA、INB,输出为SO 和CO,并将此过程在WORK库的example程序包中实现。

(12分)
3.VHDL状态机:试利用枚举类型设计一个状态机traffic_light,用灯的颜色代表相应的状态名。

该状态机共有3个状态(green,yellow,red),状态转换顺序green->yellow->red->green,循环显示。

该状态机由两个进程描述,第一个计算下一个状态逻辑,第二个锁存下一个状态
到当前状态。

请给出结构体程序(12分)。

library IEEE;
use IEEE.std_logic_1164.all;
ENTITY traffic_light IS
PORT (clock :in std_logic;
red_light, green_light, yellow_light:out std_logic); END traffic_light;
329383379。

相关文档
最新文档