Quartus_II_12.0+Qsys及Nios_II教程
Quartus_II使用教程-完整实例
Quartus_II使⽤教程-完整实例Quartus Ⅱ⼊门教程(⼀个Verilog 程序的编译和功能仿真)Quartus Ⅱ是Altera 公司推出的专业EDA ⼯具,⽀持原理图输⼊、硬件描述语⾔的输⼊等多种输⼊⽅式。
硬件描述语⾔的输⼊⽅式是利⽤类似⾼级程序的设计⽅法来设计出数字系统。
接下来我们对这种智能的EDA ⼯具进⾏初步的学习。
使⼤家以后的数字系统设计更加容易上⼿。
●快捷⼯具栏:提供设置(setting ),编译(compile)等快捷⽅式,⽅便⽤户使⽤,⽤户也可以在菜单栏的下拉菜单找到相应的选项。
●菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。
●信息栏:编译或者综合整个过程的详细信息显⽰窗⼝,包括编译通过信息和报错信息。
1 ⼯程名称:2添加已有⽂件(没有已有⽂件的直接跳过next)3 选择芯⽚型号(我们选择MAX3000A 系列下的EPM3256AQC208-10芯⽚) (注:如果不下载到开发板上进⾏测试,这⼀步可以不⽤设置)4 选择仿真,综合⼯具(第⼀次实验全部利⽤quartus 做,三项都选None ,然后next )5 ⼯程建⽴完成(点finish )第三步:添加⽂件(file>new> VHDL file),新建完成之后要先保存。
第四步:编写程序以实现⼀个与门和或门为例,Verilog描述源⽂件如下:module test(a,b,out1,out2);input a,b;Output out1,out2;assign out1=a&bassign out2=a | b;endmodule然后保存源⽂件;第五步:检查语法(点击⼯具栏的这个按钮(start Analysis & synthesis))点击确定完成语法检查第六步:(锁定引脚,点击⼯具栏的(pin planner ))(注:如果不下载到开发板上进⾏测试,引脚可以不⽤分配)双击location各个端⼝的输⼊输出第七步:整体编译(⼯具栏的按钮(start Complilation))第⼋步:功能仿真(直接利⽤quratus进⾏功能仿真)1将仿真类型设置为功能仿真(Assignments>setting>Simulator Settings>下拉>Function)(new>Vector Waveform File )然后导⼊引脚(双击Name 下⾯空⽩区域>Node Finder>list>点击):接下来设置激励信号(单击>选择>Timing>Multiplied by 1)设置b 信号源的时候类同设置a 信号源,最后⼀步改为然后要先⽣成仿真需要的⽹表(⼯具栏processing>Generate Functional Simulation Netlist)接下来开始仿真(仿真前要将波形⽂件保存,点击⼯具栏开始仿真):观察波形,刚好符合我们的逻辑。
NIOS2完整教程
NIOS2完整教程NIOS II 是一款高度可配置的32位嵌入式软核处理器,由Intel旗下的Altera(现在归属于英特尔)推出。
它具有低功耗、高性能和可扩展性的特点,适用于各种嵌入式应用领域。
下面是一份简要的NIOSII完整教程,帮助您了解如何开始使用NIOSII。
1. Nios II 架构Nios II 架构包括处理器核、总线接口、存储器和外设设备。
处理器核包括CPU和一些协处理器,如乘法器、除法器等。
总线接口用于连接处理器核、存储器和外设设备。
存储器用于存储指令和数据。
外设设备包括UART、GPIO、SPI、I2C等。
2. Nios II 开发环境搭建首先,您需要安装Altera的Quartus软件来进行Nios II的开发。
Quartus提供了一个开发工具套件,包括编译器、仿真器和调试器。
您还需要安装Nios II EDS,这是一个集成开发环境,用于配置和生成Nios II处理器的软件。
安装完软件后,您可以创建一个新的Nios II项目。
3. Nios II 项目配置在Quartus中创建新的Nios II项目时,您需要指定处理器类型、时钟频率和存储器大小等参数。
您还可以选择添加外设设备和协处理器。
一旦项目创建完成,您可以使用Nios II EDS来配置处理器和外设设备,并生成相关的软件。
4. Nios II 软件开发Nios II 支持多种软件开发工具,包括C/C++编译器、汇编器和调试器。
您可以使用C/C++编译器来编写和调试应用程序。
您还可以使用汇编器来编写高性能的关键代码。
调试器可以帮助您检测和修复应用程序中的错误。
5. Nios II 系统调试在开发过程中,您可能需要进行系统调试,以解决应用程序的问题。
Nios II 支持硬件和软件调试。
硬件调试通过连接JTAG接口进行,可以在硬件级别上进行调试。
软件调试使用Nios II调试器进行,可以在软件级别上进行调试。
6. Nios II 系统验证在完成软件开发和调试后,您可以进行系统验证,确保系统的正确性和稳定性。
niosII操作图解
1、打开quaratusII软件2、打开low_cost_lcd工程打开的工程:3、打开sopcbuilder正在打开:Sopc builder中建立的niosII系统4、选择system generationSystem generation界面5、点击run niosII IDE 正在读取中间会提示选择workplace点browse选择在你电脑中的low_cost_lcd文件夹中的software---workspace作为工作空间这是在我电脑中的情况之后等待一会儿,根据电脑的配置不同时间也不同。
可以从上图看到workspace文件夹上面还有一些文件夹。
这些就是存放c/c++例程的地方:这就是存储c文件的地方这个文件夹中就是你所熟悉的c语言程序。
好了言归正传,现在niosII ide 应该已经启动好了,如下显示:6、打开一个你向下载到实验板上的c程序,我以flash_test为例:点击flash_test:7、因为这些文件都是编译过的,就可以不编译了,当然在编译一次也没关系:点project-build project8、先把qaratusII的工程下载到实验板上9、实验板不要断电,下载软件程序注意控制台中显示的内容显示到这一步你的程序就成功了最后讲一下如何建立新的软件工程:1、现在新建一个工程:2、点next选择空白工程3、为你的工程起个名字这时会在旁边出现一个新的工程---flash_test_26、新建一个c/c++文档:出现如下的对话框:7、上面是选择父目录,下面打入文件名8、完成:这时在左侧的工程池中出现了你刚建的文件:9、我先把flash_test.c中的程序复制了,flash_test.c文件的位置上文已经提过了在low_cost_lcd---software---flash_test中。
10、打开文件,然后把刚才复制过的内容粘贴进去,一个新的niosII软件工程就做好了。
编译下载。
Quartus-II软件的使用方法
Q u a r t u s I I软件的使用方法1、创建工程运行quartus II软件,如下图:建立工程,File New Project Wizad,既弹出“工程设置”对话框,如下图:单击此对话框最上一栏右侧的“...”按钮,在d盘中建一个工程文件夹,取名为test。
单击“打开”按钮,在第二行和第三行中填写为“half_adder”。
按Next按钮,出现添加工程文件的对话框,如下图:这里我们先不管它,直接按next进行下一步,选择FPGA器件的型号,如下图:在Family下拉框中,我们选择Cyclone V系列FPGA,选择此系列的具体芯片5CSEMA5F31C6。
执行next出现选择其它EDA工具setting对话框如下图,选择ModelSim_Altera为默认的Simulation 工具,语言为Verilog HDL.执行next出现选择其它EDA工具对话框,我们用Quartus II的集成环境进行开发,因此这里不作任何改动,按next进入工程的信息总概对话框,按Finish按钮即建立一个项目。
2、建立顶层文件。
(1)执行File New,弹出新建文件对话框,如下图:选择“Verilog HDL File”按OK即建立一个空的verilog 文件,按下图写入half_adder代码,我们把它另存为(File Save as),接受默认的文件名,以使该文件添加到工程中去。
如下图:(2)设置。
在建立工程时我们选定了芯片型号,也可以在这一步设定,在菜单Assignments Device,弹出对话框,如下图:(3)编译。
按主工具栏上的编译按钮即开始编译,Message窗口会显示一些编译信息,最后编译成功弹出提示,如下图:3、仿真对工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。
具体步骤如下:1功能仿真选择菜单processing -->start --> start test bench template writertestbench 文件创建完成打开testbench文件编写testbench文件见红色方框,编辑完后,保存。
nios-ii-入门手册教学文案
n i o s-i i-入门手册一、建立quartus ii工程首先,双击quartus ii 9.1图标打开软件,界面如下图1.1所示图1.11.1新建工程(1)点击file –>New Project Wizard 出现图1.2所示的对话框。
图1.2(2)点击Next。
如图1.3所示:第一行是工程的路径,二、三行为实体名。
填好后点击Next。
图1.3(3)此处可选择加入已设计好的文件到工程,点击Next。
图1.4(4)选择设计器件如图1.5所示。
接着点击Next。
图1.5(5)接着点击Next。
无需改动,点击finish,显示如下图所示。
图1.6(6)此时,工程已经建立完成,接下来需要建立一个原理图输入文件,点击file –>New ->Block Diagram/Schematic File 后如图1.7所示。
图1.7二、构建一个nios ii 软核(1)首先点击Tools ->Sopc Builder图2.1在System Name中输入软核的名称,注意:软核的名称不能和quartus工程的实体名相同,否则编译会出现错误,接着点击ok。
图2.2图中clk_0为时钟名称,100.0为时钟值双击可修改其值。
即软核的时钟就是100MHZ。
(2)建立nios ii处理器点击Processors -> Nios ii Processor 如图2.3所示图2.3点击后,将出现下图,在下图中选择软核的类型。
这里提供了三种类型,Nios II/e占用资源最少600-800LEs,功能也最简单,速度最慢。
Nios II/s占资源比,前者多一些,功能也多了,速度也忚一些Nios II/f占资源最多,功能也最多,速度就最快,用户可根据实际的需要选择不同的类型。
此处选择Nios II/f型,速度和功能都能满足。
下方Reset Vector是复位后启动的Memory类型和偏移量。
待SDRAM设置号以后再来设置此处。
第2章 Quartus_II 操作基础
课堂练习
用原理图方法设计一个“三输入表决器”电路。
S1 0
0 0 0 1 1 1 1
S2 0
0 1 1 0 0 1 1
S3 0
1 0 1 0 1 0 1
LED1 0
0 0 1 0 1 1 1
LED2 1
1 1 0 1 0 0 0
LED1 点亮表示 议案通过, LED2 点亮表示 议案被否决
Y6 Y7
3. 全程编译
在下拉菜单“Processing”中选 择“Start Compilation”,启 动全程编译
编译完成后的信 息报告窗口
23
关于全程编译 启动全程编译:
选择Processing/Start Compilation,自动完成分析、排 错、综合、适配、汇编及时序分析的全过程。
第二章
Quartus_II 操作基础
1
主要内容 QuartusⅡ软件的简介和工程的基本设计流程。 通过简单的实例演示各流程以及常用工具的使用方 法,熟悉QuartusⅡ软件的用户界面、常用工具 和设计流程。
2
一、 QuartusⅡ概述
QUARTUS II是美国Altera公司提供的可用于 可编程片上系统(SOPC)开发的综合开发环境,是 进行SOPC设计的基础. 集成环境包括以下内容:系统级设计,嵌入式软件开 发,可编程逻辑器件(PLD)设计,综合,布局和布线, 验证和仿真.
6
(1)项目创建向导
文件菜单
选择文件的存放路径 工程文件名,任取,建立 在用户自己的目录下,不 要使用软件的安装目录或 系统目录 顶层Entity名称,必须符合 TOP文件中定义的module 名称
基于已有项目创建工程 (一般 不使用)
QuartusII安装以及工程建立指南
Q11版本请选择11.1_173_acds_devices.rar中的setup.exe 。
13
14、开始安装,
QuartusII12.0 安装不使用指南
14
15、直接“Next”,
QuartusII12.0 安装不使用指南
15
QuartusII12.0 安装不使用指南
16、勾选“I agree…”,点击“Next”,
56
QuartusII12.0 安装不使用指南
24、在 Quartus II 主界面,点击“Start Comlication“迚行编译,编译完成后 直接点击“OK”,如下图所示,
57
25、编译完成后如下图所示,
QuartusII12.0 安装不使用指南
58
QuartusII12.0 安装不使用指南
26
QuartusII12.0 安装不使用指南
32、复制“NetworkInterfaceCard ID“中的内容后,点击“OK”,
27
QuartusII12.0 安装不使用指南
33、在安装路径下的“bin”文件里找到“license.dat“, 并用记事本方式打开,
Hale Waihona Puke 28QuartusII12.0 安装不使用指南
15、综合分析后会出现下图,直接点击“保存”,
16、综合分析完成后出现下图,点击“OK”,如下图所示,
48
QuartusII12.0 安装不使用指南
17、选择“Assignments->pin planer“,迚行分配引脚,如下图所示,
49
QuartusII12.0 安装不使用指南
50
QuartusII12.0 安装不使用指南
quareusII 12.0教程
quartusII 12.0教程(modelsim-altera10.0仿真篇)本文档主要介绍了Quartus12.0软件的仿真操作部分,对于两种的RTL仿真都详细的做了介绍,并介绍了门级仿真和布线布局仿真。
由于作者水平和精力有限,本文档难免有错误和疏忽之处,欢迎大家指正。
转载请说明出处,谢谢!Email:caoxiaoliangzdh@天之蓝电子工作室曹晓亮2012-9-8一.前言对于初学quartusII软件的人来说,各种仿真可能搞的不是很清楚,并且可能在进行仿真的时候可能会出现一些问题,本人就学习quartus12.0软件的经历,详细介绍下对于modelsim-altera10.0d的仿真方法。
二、FBGA开发的流程图1 FBGA开发流程图三、各种仿真前仿真:即功能仿真(RTL仿真),是Quartus II的Functional Simulation,不考虑电路的门级延迟,重点在观察在理想环境下的行为仿真。
后仿真:即门级仿真,考虑了电路的门级延迟,但经过fitter阶段,所以模拟结果最为精准。
布局布线仿真:即时序仿真。
布局布线后生成的仿真延时文件最全,不仅包括门延时,还包括布线延时,所以最为准确,能较好的反映芯片的实际工作情况。
quartusII 12.0软件中modelsim-altera10.0d仿真在quartus II12.0软件中有两种仿真方法,一种是GUI仿真即图形界面操作仿真,综合完以后,软件会自动生成一个test bench,在modelsim中给一些信号进行赋值,进行验证。
而test bench仿真是自己写一个test文件,用来验证,打开modelsim 直接就可以看到仿真的波形。
四、设置仿真工具点击Tools->options,选择EDA Tool工具五、仿真实例下面将会以一个8位移位寄存器加以说明,对建工程的一些基本操作就此省略,重点介绍仿真的全过程。
8位移位寄存器V erilog程序/******************************************************************** **模块功能:一个八位的移位寄存器,实现的右移功能********************************************************************/ module shift8r(Din,Dout,clk,rst);input Din,clk,rst;output[7:0] Dout;reg[7:0] Dout;always @(posedge clk)beginif(!rst) //复位清零Dout<=0;elsebeginDout<=Dout>>1; //右移Dout[7]<=Din; //高位重新赋值endendendmodule将此模块进行综合后进行仿真六、RTL仿真GUI仿真1.点击assignments->setting2.EDA Tool Setting下选择Simulation3.点击Test Bench4.点击New5.添加文件,name和模块名相同,添加的文件shift8r6.点击OK,点击Processing->Start->Start Test Bench Template Writer,产生test 文件。
QuartusII12.0使用指南2
一、建立QuartusII工程1、双击QuartusII桌面图标打开软件,如下图所示,234体命名,注意:路径中不要出现中文,命名时不要出现空格,最好使用有意义的命名,尽量不要使用123、abc、mydesign等,一般第三行命名默认和第二行一致,本例用led,读者可以类比填写,然后“Next”,如下图所示,56速度等级为8,型号为EP4CE10E22C8,然后点击“Next”,如下图所示,7891011121 /*2 * File :led3 * Description :4 * Author : XiaomaGee@5 * Copyright :6 *7 * History8 **--------------------9 * Rev : 0.0010 * Date : 05/9/201211 *12 * create.13 **--------------------14 */15 module led(clk,16 led17 );1819 input clk;2021 output led;2223 wire rst_n;24 reg led;25 reg[23 : 0] counter;2627 always@(posedge clk or negedge rst_n)28 if (!rst_n)29 counter <= 0;30 else31 counter <= counter + 1;3233 always@(posedge clk or negedge rst_n)34 if (!rst_n)35 led <= 0;36 else if (counter == 24 'hffffff)37 led<=~led;3839 assign rst_n=1;4041 endmodule4213141516、综合分析完成后出现下图,点击“OK”,如下图所示,17、选择“Assignments->pin planer“,进行分配引脚,如下图所示,18时钟线clk连接在FPGA的23脚,所以选择pin23,如下图所示,19接关闭引脚分配界面即可,如下图所示,202122tri-stated”,如下图所示,23中(双击后即可显示下拉菜单)都选择“Use as regular I/O”,点击“OK”,24直接点击“OK”,如下图所示,25二、用USB线和USB-Blaster把电脑和iCore板连接(USB供电,USB-Blaster 用于下载)。
QUARTUSII操作
:为选定的信号赋予高电平;
:为选定的信号不进行赋值;
:为选定的信号赋原值的相反值;
:专门设置时钟信号;
:把选定的信号用一个时钟信号或是周期性信号来 代替; :为总线信号赋值; :为选定的信号随机赋值;
保存好文件, 默认文件名
单击“assignments”菜单下的“settings”令,在弹 出的“settings”对话框中进行设置。如上图,单击左侧 标题栏中的“simulator settings”选项后,在右侧的 “simulator mode”下拉菜单中 选择“functional”选 项即可,单击“ok” 按钮后完成设置。
在上页的界面中,你可以添加已经写好的程 序模块,实现模块共享,如果需要添加直接点 击“Add”按 选择 芯片 钮就可以 了,如果不 需要直接点 击 “next”, 出现这个 界面:
点击进入下 一界面
点击完成
选择VHDL FILE
点击进 入编辑 界面
输入文 本文件
保存文件,注意保存 的文件名要和文本 的实体名一致
启动编译
编译成功
建立仿真文件
设置仿真时间区域,并进行波 形文件存盘(选择File中的Save as)
设置仿真结束时间为100US
设置仿真 结束时间
在空白处双击 鼠标左键
选 择”NODE FINDER”
点击”LIST”
选择需要 的信号
接下来分别对各输入端口进行设置,完成之 后,单击保存文件按钮进行保存。
:在波形文件中添加注释; :修改信号的波形值,设定选定区域的波形; :放大,缩小波形; :全屏显示波形文件;
:在波形文件信号栏中查找信号名,可以快 捷地找到待观察信号; :将信号栏中的名称用另一个名称代替; :为选定的信号赋予未初始化状态; :为选定的信号赋予不定状态;
QuartusII NiosII NiosII Linux安装教程
Quartus II 5.1程序安装Quartus II 5.1安装步骤如下:1、将Quartus II 5.1安装光盘插入机箱内,在我的电脑上打开光盘安装程序。
2、打开Quartus II 文件夹,如上图所示,选择Quartusii-51-pc文件,打开后如下图所示:3、选择Install.exe文件,打开,进入安装预选界面。
选择第一项stall Quartus IIand Related Software.4、进入安装欢迎界面,直接点击Next,进入下一步。
5、这一步选中四个复选框,只选中QuartusII5.1,将其他三个选项去掉,点击Next。
下一页,License Agreement界面:然后选择第一项选项I accept the terms of the license agreement ,点击Next进入下一步。
6、在此步骤中,在User Name和Company Name中输入用户名和机器名称,也可任意键入名称。
如下图所示:7、点击Next,进入如下界面:可直接选择界面所示默认路径C:\altera\quaters51,也可在Browse中浏览选择你想要存入的路径。
如下图所示。
点击Next进行下一步。
8、在Setup Type 界面中,选择第一项:Complete。
点击下一步。
在Select ProgramFolder界面,直接点击Next,出现设置信息的界面,如下图所示:直接点击Next,电脑开始进行安装。
安装等待界面如图示:9、等待大约15分钟后,安装完成,选择在桌面上建立快捷图标,如图示:选择“是(Y)”。
则在桌面上建立了一个Quartus II 5.1的软件快捷方式。
10、在桌面上的快捷图标上点击鼠标右键,选择“属性”选项,打开“快捷方式”选项卡,如下图示:在“目标”中按路径C:\altera\quaters51\bin\quartus.exe打开.exe文件,选择“查找目标”,点击“确定”,可打开Bin文件夹。
NIOSII教程
NIOSII教程NIOS II 是一种基于软核的处理器,可用于 FPGA 设计中。
它是由Altera 公司所开发的。
NIOS II 可以在 FPGA 中进行快速原型设计,并且具有高度可配置性和可扩展性。
本文将介绍 NIOS II 的基本架构和使用方法。
NIOSII架构基于RISC架构,它是一个32位的处理器,并且具有可选的指令扩展。
它的流水线深度可以根据设计的需求进行配置,以实现不同的性能要求。
NIOSII支持多种功能,包括硬件中断、异常处理以及浮点运算等。
在开始使用NIOSII进行开发之前,首先要进行软核处理器的配置。
配置包括选择所需的指令集、流水线深度和其他性能参数。
配置完成后,可以将软核处理器添加到FPGA设计中。
一旦软核处理器被添加到FPGA设计中,就可以开始编写应用程序。
NIOSII支持标准的C/C++语法,并且还提供了一些特殊的函数和指令,用于访问FPGA的硬件资源。
例如,可以使用特殊函数来配置GPIO引脚、读取和写入存储器以及执行其他与硬件交互的操作。
使用NIOSII进行FPGA开发具有许多优点。
首先,它提供了一种快速原型设计的方法,可以大大加快开发进程。
其次,NIOSII的可扩展性和高度可配置性使得它适用于各种不同的FPGA设计需求。
最后,NIOSII的开发工具和调试接口使得开发和调试过程更加简单和高效。
总结起来,NIOS II 是一种基于软核的处理器,适用于 FPGA 设计中。
它具有灵活性和可配置性,可以使用 C/C++ 或 HDL 进行开发,并通过Altera 公司提供的工具链进行编译和调试。
NIOS II 提供了一种快速原型设计的方法,并且适用于各种不同的 FPGA 设计需求。
quartus_II_教程
六、引脚配置与下载
器件编程和配置 对话框
35
26
五、仿真设计文件
2 ) 点 击 Insert Node or Bus 对 话 框 中 的 Node Finder…按钮,弹出Node Finder窗口,在此窗口中 添加信号节点。
一般选择all,后点击list
已选节点列表 待选节点列表
27
五、仿真设计文件
3、编辑输入信号 编辑输入信号是指在波形编辑器中指定输入节点的逻辑电平变 化,编辑输入节点的波形。 :在波形文件中添加注释; :把选定区域的波形更改成原值的相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名; :将某个波形替换为另一个波形; :给选定信号赋原值的反值; :输入任意固定的值; :输入随机值 :给选定的信号赋值,X表示不定态,0 表示赋0,1表示赋1,Z表示高阻态,W表示弱信号,L表示低 电平,H表示高电平,DC表示不赋值。 28
(4)点击Next
7
2.添加所需的已有文件
如果需添加文件点击此按钮 ,选择文件后点击Add
如果不需添加文件直接点击 Next
8
3.为项目指定目标器件
(1)选择器件系列 筛选列表
(2)选择具体器件
(3)点击Next
9
4.指定所需第三方EDA工具
指定设计/综合工具,常用的如Design Compiler等等
5
Quartus II 主界面
工程向导
用户编辑窗口
状态窗口
消息窗口
6
1.指定新项目的路径及名称
选择File|New Project Wizard
(1)指定项目路径 (2)指定项目名称 (3)项目顶层实体 Entity名称
Quartus II 12.0 安装说明
Quartus II 12.0安装说明在安装下面的软件前,请关闭所有的杀毒软件。
1.Quartus II的安装接下来我们找到前面软件工具的下载保存路径,首先安装Quartus II Web Edition。
双击“12.0sp1_232_quartus_free_windows.exe”,弹出如图5.7所示的安装页面,我们所下载的文件实际上相当于一个压缩包,点击后弹出的页面需要我们设置临时文件的存放路径(即Destination folder),只要你确保该路径所在盘符有足够的硬盘空间即可(通常应该是要有3-5G的空间)。
点击“Install”开始解压。
图5.7 Quartus II Web Edition解压页面在解压完成后,自动弹出如图5.8所示的安装提示,一路Next下去。
当然了,期间有一些个性化的设置是可以根据自己需要进行更改的,如安装路径和实际器件家族的使用安装,推荐大家使用默认设置。
如图5.9所示,Quartus II Web Edition正在安装中。
第一次打开安装好的Quartus II Web Edition软件,会弹出如下图所示的对话框。
请务必选择“Run the Quartus II software”选项,然后点击OK。
打开最终安装好的Quartus II Web Edition如图5.10所示。
图5.10 Quartus II Web Edition工作界面因为Quartus II Web Edition是完全免license的,但是有些网友会遇到安装好软件仍无法正常编译使用的情况,笔者也曾遇到过。
这里提醒大家注意,如果PC机上安装过其他版本的Quartus II,很可能会出现无法正常使用Quartus II Web Edition的情况,这很可能是License Setup隐射到了不正确的license文件造成的。
大家可以打开菜单栏的Tools License Setup,如图5.11所示,这里请大家确保License file后面是空白的(因为不需要license,如果设置了某个license路径反而让软件无法使用),也不要勾选Use LM_LICENSE_FILE variable。
QuartusII软件操作
Quartus II 软件操作
第二步 根据电路图综合电路 电路图输入到CAD系统之后,许多CAD工具会对其进行处
理。流程的第一步是使用综合工具,将电路图编译成逻辑表 达式,然后,电路综合的下一步是工艺映射,通过使用可用 的逻辑元件,确定每个逻辑表达式如何在目标芯片中实现。
使用编译器。选择Processing/Compile tool 命令,打 开对应窗口,共包括四个模块。分析与综合模块执行 Quartus II 中的综合步骤,它产生逻辑元件组成的一个电路。 装配模块(Fitter)模块决定芯片上各电路元件的精确布局。 其中综合模块产生的每个元件都将在芯片上实现。每个模块 也可以单独运行。也可以使用其他命令启动编译。编译完成 时,可以查看编译报告。出现错误时,点击错误信息,可以 突出显示错误出现的位置。
Quartus II 软件操作
第三步 对设计的电路进行仿真 Quartus II包含仿真工具,它
们用于仿真已设计电路的行为功能。 在电路仿真之前,必须先创建输入 波形文件。
1) 使用波形编辑器。 选择File/New命令,在弹出的对 话框中选择Vector Waveform File 选项,单击OK按钮。将文件 命名为example_schematic.vwf并保 存。
Quartus II 软件操作
① 功能仿真 选择Assignments/settings命令,打开settings窗口。
在该窗口的左面,单击Simulator项,在弹出的窗口中,选 择fuctional选项作为仿真模式。为了完成仿真器的设置, 需要选择Processing/Generate functional simulation Netlist命令。 Quartus II根据测试输入,产生 example_schematic.vwf文件中定义的输出。选择 Processing/start simulation(或使用快捷图标),开始 运行电路的功能仿真。仿真结束之后, Quartus II指出仿 真完成,并给出仿真报告。
Quartus II12.0安装教程
安装步骤:1.鼠标右击【Quartus II12.0】压缩包选择【解压到Quartus II12.0】。
2.双击打开解压后的【Quartus II12.0】文件夹。
3.双击打开【Quartus】文件夹。
4.鼠标右击【12.0_178_quartus_windows.exe】选择【以管理员身份运行】。
5.点击【Install】。
6.解压中。
7.勾选【Allow Altera Installer…】然后点击【Next>】。
8.勾选【I agree to the terms…】然后点击【Next>】。
盘,可以在D盘或其它磁盘新建一个【altera】文件夹,然后点击【Next>】。
10.点击【Next>】。
11.点击【Next>】。
12.安装中。
13.点击【OK】。
14.点击【OK】。
15.点击【Finish】。
16.点击【Cancel】。
17.打开安装包解压后的【Quartus II12.0】文件夹里面的【Quartus】文件夹,鼠标右击【12.0_178_devices_arria_windows.exe】选择【以管理员身份运行】。
18.点击【Install】。
19.解压中。
20.勾选【Allow Altera Installer…】点击【Next>】。
21.勾选【I agree to the terms…】然后点击【Next>】。
22.安装路径要和步骤9设置的路径保持一致,然后点击【Next>】。
23.点击【Next>】。
24.点击【Next>】。
25.安装中。
26.点击【OK】。
27.点击【Finish】。
文件夹。
29.鼠标右击【Quartus_12.0_x64.exe】选择【以管理员身份运行】。
30.点击【应用】。
31.点击【是】。
32.打开软件安装路径下的【bin】文件夹(我这里的路径为D:\altera\quartus\bin)再选中里面【sys_cpt.dll】文件,然后点击【打开】。
Quartus_II使用方法
Quartus_II使用方法第1章QUARTUS II2.1 QUARTUSII概述设计输入是设计者对系统要实现的逻辑功能进行描述的过程。
设计输入有多种表达方式,常用的用原理图输入、硬件描述语言输入、网表输入等。
1、原理图输入:原理图设计输入方式是利用软件提供的各种原理图库,采用画图的方式进行设计输入。
这是一种最为简单和直观的输入方式。
原理图输入方式的效率比较低,半只用于小规模系统设计,或用于在顶层拼接各个以设计完成的电路子模块。
2、硬件描述语言输入:这种设计输入方式是通过文本编辑器,用VHDL,Verilog或AHDL等硬件描述语言进行设计输入。
采用语言描述的有点事效率较高,结果容易仿真,信号观察方便,在不同的设计输入库之间转换方便,适用于大规模数字系统的设计。
但语言输入必须依赖综合器,只有好的综合器才能把语言综合成优化的电路。
3、网表输入:现代可编程数字系统设计工具都提供了和它第三方EDA工具相连接的接口。
采用这种方法输入时,可以通过标准的网表把它设计工具上已经实现了的设计直接移植进来,而不必重新输入。
一般开发软件可以接受的网表有EDIF格式、VHDL 格式及Verilog格式等。
在用网表输入时,必须注意在两个系统中采用库的对应关系,所有的库单元必须一一对应,才可以成功读入网表[6]。
2.2 QUARTUSII建立工程项目在Quartus II 中,用户的每个独立设计都对应一个工程项目,每个工程项目可包含一个或多个设计文件。
其中一个是顶层文件,编译器是对项目中的顶层文件进行编译的,项目同时还管理编译过程中产生的各种中间文件,这些中间文件的文件名相同,但后缀名不同。
为了便于管理,对于每个新的项目应该建立一个单独的子目录。
指定项目名称的步骤如下:1. 打开Quartus II,在File 菜单中选择New Project Wizard 项,将出现工程项目建立向导对话框。
如图2-1 (a)所示。
2. 点击“Next”,进入到如图2-1 (b)所示的工程项目命名对话框,在最上面的文本输入框中输入为该项目所建的目录名,如本例为“E:\Clock”,在中间的文本输入框中输入项目名称,本次实验为“adder”,在最下面的文本输入框中输入最顶层模块的名称“adder”。
Quartus-II软件的使用方法
Quartus II软件的使用方法1、创建工程运行quartus II软件,如下图:建立工程,File New Project Wizad,既弹出“工程设置”对话框,如下图:单击此对话框最上一栏右侧的“...”按钮,在d盘中建一个工程文件夹,取名为test。
单击“打开”按钮,在第二行和第三行中填写为“half_adder”。
按Next按钮,出现添加工程文件的对话框,如下图:这里我们先不管它,直接按next进行下一步,选择FPGA器件的型号,如下图:在Family下拉框中,我们选择Cyclone V系列FPGA,选择此系列的具体芯片5CSEMA5F31C6。
执行next出现选择其它EDA工具setting对话框如下图,选择ModelSim_Altera为默认的Simulation 工具,语言为Verilog HDL.执行next出现选择其它EDA工具对话框,我们用Quartus II的集成环境进行开发,因此这里不作任何改动,按next进入工程的信息总概对话框,按Finish按钮即建立一个项目。
2、建立顶层文件。
(1)执行File New,弹出新建文件对话框,如下图:选择“Verilog HDL File”按OK即建立一个空的verilog 文件,按下图写入half_adder代码,我们把它另存为(File Save as),接受默认的文件名,以使该文件添加到工程中去。
如下图:(2)设置。
在建立工程时我们选定了芯片型号,也可以在这一步设定,在菜单Assignments Device,弹出对话框,如下图:(3)编译。
按主工具栏上的编译按钮即开始编译,Message窗口会显示一些编译信息,最后编译成功弹出提示,如下图:3、仿真对工程编译通过后,必须对其功能和时序性质进行仿真测试,以了解设计结果是否满足原设计要求。
具体步骤如下:1功能仿真选择菜单processing -->start --> start test bench template writertestbench 文件创建完成打开testbench文件编写testbench文件见红色方框,编辑完后,保存。
Quartus II 12.0+Qsys及Nios II教程
wit_chuangxin@ 2012.07.14 am.10:15 Lab 303培训内容:Qsys和Nios II Eclipse的使用Debug开发平台:Quartus II 12.0 (Qsys) DE2_70 首先,新建工程工程新建完成后,启动Qsys启动之后界面如下:初始只有时钟添加这里和SOPCBuilder 一样,有三种再添加on-chip memory大小设置为40KB ,这里后面的单位不能选添加JTAG所有component添加完毕,它们之间所有连线还未连接,下重命名完成之后,需要设置时钟(注意:复位的设置现在先不设置,之后会用全局的复位网络进行快速连接)先将所有元件选中CPU的clk,在clock栏有一个下拉可以看到CPU的clk与系统时钟clk_50连如上方式将所有元件的clk与系统时将onchip_memory的总线与CPU的设置复位和异常的位置Reset和Exception通过这里将所有元件自动分配基地址将JTAG UART的总线与CPU的将JTAG这里方便我保存完毕后,就Verilog文件,然后对CPU进行例化:如果此时进行编译的话,会有错误:Error (12006): Node instance "u0" instantiates undefined entity "NIOS_CPU"因为之前定制的CPU还没有被加入到Quartus的工程中,需要我们手动添加:找到路径(图示),选择.qip分配管脚至此,我们就完成了硬件部分设置,先完全编译,查看RTL:接下来要在Nios II Eclipse进行软件设计了,打开Nios II开发环境新建NIOS 工程:为新的NIOS编译工程:编译完成并无误后,先将硬件下载至FPGA中:在NIOS中进行硬件连接的设置连接设置成功后,可以运行每按一次复位,就会打印。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
wit_chuangxin@ 2012.07.14 am.10:15 Lab 303
培训内容:
Qsys和Nios II Eclipse的使用Debug
开发平台:
Quartus II 12.0 (Qsys) DE2_70 首先,新建工程
工程新建完成后,启动
Qsys
启动之后界面如下:
初始只有时钟
添加CPU
这里和SOPC Builder一样,
有三种类型可选,我们选标准
型
再添加on-chip
memory
大小设置为40KB,这里后面的
单位不能选kbytes,没有以前
添加
JTAG UART
络进行快速连接)
选中CPU的clk,在
clock栏有一个下拉按
钮,选择clk_50
可以看到CPU的clk与系
统时钟clk_50连上了,其
他元件也如此设置
如上方式将所有元件的clk 与系统时钟clk_50相连
设置复位和异常的位置
Reset和Exception
通过这里将所有元件自动分配基地址
这里方便我们例化CPU
保存完毕后,就可以Generate了
Verilog文件,然后对CPU进行例化:
如果此时进行编译的话,会有错误:
Error (12006): Node instance "u0" instantiates undefined entity "NIOS_CPU"
因为之前定制的CPU还没有被加入到Quartus的工程中,需要我们手动添加:
找到路径(图示),选择.qip
分配管脚
至此,我们就完成了硬件部分设置,先完全编译,查看RTL:
接下来要在Nios II Eclipse进行软件设计了,打开Nios II开发环境
新建NIOS 工程:
编译工程:
编译完成并无误后,先将硬件下载至FPGA中:
在NIOS中进行硬件连接的设置。