4 多路复用器和比较器的仿真实验报告
比较器电路实习报告
一、实习目的本次比较器电路实习旨在通过实际操作,加深对比较器电路原理的理解,掌握比较器电路的设计、搭建、调试和故障排除方法。
通过实习,提高自己的动手能力,培养分析问题和解决问题的能力,为今后的学习和工作打下坚实的基础。
二、实习内容1. 比较器电路的基本原理比较器电路是一种模拟电路,用于比较两个电压信号的大小,并输出高电平或低电平。
它主要由输入电路、比较电路和输出电路组成。
输入电路将输入信号送入比较电路,比较电路根据输入信号的大小关系产生高电平或低电平输出。
2. 比较器电路的设计与搭建(1)设计要求本次实习要求设计一个简单的比较器电路,能够比较两个输入电压信号的大小,并驱动LED灯进行指示。
(2)电路搭建根据设计要求,我们选择了LM393四路比较器作为核心元件,搭建了如下电路:- 输入电路:将两个输入电压信号分别通过电阻R1和R2接入比较器的两个输入端。
- 比较电路:LM393内部包含四个比较器,我们使用其中的一个比较器进行电压比较。
- 输出电路:将比较器的输出端连接到LED灯,LED灯的另一端通过电阻R3接地。
3. 比较器电路的调试与测试(1)调试根据电路图,连接好各个元件,接入输入电压信号,观察LED灯的亮灭情况。
若LED灯不亮,则检查电路连接是否正确,电阻阻值是否合适。
(2)测试为了验证电路的性能,我们进行以下测试:- 输入电压分别为0V、2V、4V时,LED灯是否正常亮灭。
- 改变输入电压信号的极性,观察LED灯的亮灭情况。
4. 故障排除在调试过程中,如果出现故障,应按照以下步骤进行排除:- 检查电路连接是否正确,有无短路或断路现象。
- 测量电阻、电容等元件的阻值,确保元件质量。
- 检查电源电压是否稳定,输出电压是否符合要求。
三、实习总结1. 通过本次实习,我对比较器电路的基本原理有了更深入的了解,掌握了比较器电路的设计、搭建和调试方法。
2. 在实习过程中,我提高了自己的动手能力,学会了如何分析问题和解决问题。
实验15 VHDL多路复用器与比较器的设计与仿真
实验十五多路复用器与比较器的设计与仿真
一、实验内容
1.参照芯片74LS153的电路结构,在Quartus II中用逻辑图和VHDL语言设计四选一多路复用器;
2.从Quartus II中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。
二、实验要求
4选1多路复用器、比较器的逻辑表达式;
4选1多路复用器、比较器的逻辑图;
用VHDL语言设计4选1多路复用器、比较器。
三、电路功能介绍
1.74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器
用途:可以对多个输入信号进行选择。
电视机里的频道转换开关就是一个多路开关。
逻辑框图
逻辑功能表
逻辑图
2.7485:4位大小比较器(4-Bit Magnitude Comparator)逻辑框图
逻辑功能表。
数字电路仿真实验报告模板
数字逻辑与CPU 仿真实验报告姓名:班级:学号:仿真实验摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。
本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。
一、组合逻辑电路的分析与设计1、实验目的(1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。
(2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。
(3)熟悉字信号发生器、逻辑分析仪的使用方法。
2、实验内容和步骤(1)采用逻辑分析仪进行四舍五入电路的设计①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。
②在仪表工具栏中跳出逻辑变换器XLC1。
图1-1 逻辑变换器以及其面板③双击图标XLC1,其出现面板如图1-1所示④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依次得到0、1、x状态)。
⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、电路图及非门实现的逻辑电路。
⑥记录不同的转换结果。
(2)分析图1-2所示代码转换电路的逻辑功能①运行Multisim,新建一个电路文件,保存为代码转换电路。
②从元器件库中选取所需元器件,放置在电路工作区。
•从TTL工具栏选取74LS83D放置在电路图编辑窗口中。
•从Source库取电源Vcc和数字地。
•从Indictors库选取字符显示器。
•从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设置改为A。
后面同理,分别改为B、C、D。
图1-2 代码转换电路③将元件连接成图1-2所示的电路。
④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填入表1-1中。
⑤说明该电路的逻辑功能。
湖南大学数字逻辑实验报告4
数字逻辑实验报告四实验题目多路复用器与比较器的设计与仿真实验目的熟悉QuartusⅡ仿真软件的基本操作,并用VHDL/Verilog语言或者逻辑图完成多路复用器与比较器的设计与仿真实验内容1.参照芯片74LS153的电路结构,用VHDL语言设计四选一多路复用器;2.从Maxplus中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。
实验步骤一)74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器逻辑框图功能表Vhdllibrary ieee;use ieee.std_logic_1164.all;entity Multiplexer4_1 isport(G,A,B:in std_logic;C:in std_logic_vector(3 downto 0);Y:out std_logic);end Multiplexer4_1;architecture arc of Multiplexer4_1 isbeginprocess(A,B,G)beginif(G='1')then Y<='0';elseif(B='0' and A='0')then Y<=C(0);elsif(B='0' and A='1')then Y<=C(1);elsif(B='1' and A='0')then Y<=C(2);elseY<=C(3);end if;end if;end process;end arc;波形图二)取7485器件(比较器)进行仿真与分析1)创建逻辑图波形仿真:三)用VHDL语言设计4位比较器逻辑图功能表Vhdllibrary ieee;use ieee.std_logic_1164.all;entity compare4 isport(A,B:in std_logic_vector(3 downto 0);ALBI,AEBI,AGBI:in std_logic;ALBO,AEBO,AGBO:out std_logic);end compare4;architecture arc of compare4 isbeginprocess(A,B,ALBI,AEBI,AGBI)beginif(A(3)>B(3))then ALBO<='0';AEBO<='0';AGBO<='1';elsif(A(3)<B(3))then ALBO<='1';AEBO<='0';AGBO<='0';elseif(A(2)>B(2))then ALBO<='0';AEBO<='0';AGBO<='1';elsif(A(2)<B(2))then ALBO<='1';AEBO<='0';AGBO<='0';elseif(A(1)>B(1))then ALBO<='0';AEBO<='0';AGBO<='1';elsif(A(1)<B(1))then ALBO<='1';AEBO<='0';AGBO<='0';elseif(A(0)>B(0))then ALBO<='0';AEBO<='0';AGBO<='1';elsif(A(0)<B(0))then ALBO<='1';AEBO<='0';AGBO<='0';elseif(ALBI='1')then ALBO<='1';AEBO<='0';AGBO<='0';end if;if(AEBI='1')then ALBO<='0';AEBO<='1';AGBO<='0';end if;if(AGBI='1')then ALBO<='0';AEBO<='0';AGBO<='1';end if;end if;end if;end if;end if;end process;end arc;仿真波形:实验总结:通过这次实验我学会了Quartus II软件的基本操作,了解了各种设计方法(原理图设计、文本设计、波形设计)。
比较器实验总结
比较器实验总结引言比较器是计算机科学中常用的一种数据结构,广泛应用于排序、搜索和优先级队列等算法中。
它能够比较两个元素的大小,并返回相应的比较结果。
在本次实验中,我们主要了解了比较器的基本概念、分类以及在代码中的实现方式。
本文通过总结实验过程和结果,对比较器的原理和使用进行了深入的思考和讨论。
实验目的本次实验的主要目的是掌握比较器的概念和使用方法,加深对比较器的理解,并通过实践来加深学习效果。
具体而言,我们将学习以下内容:1.比较器的定义和分类;2.比较器在不同应用场景中的实际应用;3.比较器的实现方式和原理。
实验过程比较器的定义和分类首先,我们需要了解比较器的定义和分类。
在计算机科学中,比较器(Comparator)是一种用于比较两个对象的接口。
它定义了一个compare方法,用于比较两个对象的大小,并返回一个表示比较结果的整数值。
比较器主要分为两类:自然排序比较器(Natural Order Comparator)和定制排序比较器(Custom Order Comparator)。
自然排序比较器实现了对对象的自然排序规则进行比较,例如数字的大小、字符串的字典序等。
而定制排序比较器则是根据项目需求进行定制的排序规则。
比较器的实际应用比较器在实际应用中有着广泛的用途。
例如,在排序算法中,通过比较器可以根据指定的排序规则对数据进行排序。
在搜索算法中,比较器用于比较待搜索的元素与目标元素的大小,以确定搜索方向。
此外,比较器还可以用于优先级队列的实现,在不同优先级的元素之间进行排序。
比较器的实现方式和原理比较器的实现方式和原理有多种。
在Java语言中,我们可以通过实现Comparator接口来创建自定义的比较器。
实现Comparator接口需要重写compare 方法,根据实际排序规则来比较两个对象。
具体实现过程如下所示:public class MyComparator implements Comparator<Integer> {@Overridepublic int compare(Integer o1, Integer o2) {// 比较逻辑// 返回负数表示o1小于o2,返回正数表示o1大于o2,返回0表示o1等于o2return o1 - o2;}}在以上示例中,我们创建了一个名为MyComparator的比较器类,实现了Comparator<Integer>接口。
电路中的比较器和多路复用
电路中的比较器和多路复用在电路设计中,比较器和多路复用器是两个非常重要的元件。
比较器用于比较输入信号的大小,而多路复用器则用于选择特定的输入信号进行处理。
本文将详细介绍比较器和多路复用器的原理、应用及其在电路设计中的重要性。
一、比较器的原理和应用比较器是一种用于比较两个信号的大小的电子元件。
它的输入通常包括被比较信号和参考信号,输出则表示比较结果。
比较器的主要工作原理是通过放大输入信号,将其与参考信号进行比较,并输出高电平或低电平信号表示比较结果。
比较器的应用非常广泛,其中最常见的是在模拟电路中作为一个开关或触发器使用。
比较器还能够用于电压检测、电流检测以及信号波形的判断等。
在数字电路中,比较器也被广泛用于数字信号的处理和判断,如二进制数的大小比较和门电路的实现等。
二、多路复用器的原理和应用多路复用器是一种用于选择特定输入信号的电子元件。
它可以将多个输入信号中的一个或几个选择进行处理,并输出到一个共享的输出线路上。
多路复用器的主要工作原理是通过控制信号来选择其中一个输入信号,使其能够进入输出线路。
多路复用器在电路设计中起到了非常重要的作用。
它可以大大减少电路中的元件数量和复杂度,从而提高电路的稳定性和可靠性。
多路复用器的应用广泛,比如在通信系统中的信号传输、数据选择和时分多址技术等。
此外,在模拟电路中,多路复用器也常用于信号的选择、数据采集和多通道数据处理等。
三、比较器与多路复用器的结合应用比较器和多路复用器可以相互结合,形成一些特殊用途的电路。
比如,将多个比较器与多路复用器结合,可以实现一个多通道比较器,用于对多个信号进行比较,并输出各个通道的比较结果。
这在电压监测、温度检测和光强检测等应用中具有重要意义。
此外,比较器和多路复用器的结合还可以用于模数转换电路。
模数转换是将模拟信号转换为数字信号的过程。
通过比较器选择输入信号的范围,并利用多路复用器将输入信号切换到A/D转换器进行数模转换,从而实现模拟信号的数字化。
器件仿真实验报告
器件仿真实验报告电力电子仿真仿真实验报告目录实验一:常用电力电子器件特性测试................................................................................... 3 (一)实验目的:................................................................................................ .. (3)掌握几种常用电力电子器件(SCR、GTO、MOSFET、IGBT)的工作特性; (3)掌握各器件的参数设置方法,以及对触发信号的要求。
(3)(二)实验原理.................................................................................................... (3)(三)实验内容.................................................................................................... (3)(四)实验过程与结果分析 (3)1.仿真系统.................................................................................................... (3)2.仿真参数.................................................................................................... .. (4)3.仿真波形与分析.................................................................................................... .. (4)4.结论.................................................................................................... .. (10)实验二:可控整流电路.................................................................................................... .. (11)(一)实验目的.................................................................................................... . (11)(二)实验原理.................................................................................................... . (11)(三)实验内容.................................................................................................... . (11)(四)实验过程与结果分析 (12)1.单相桥式全控整流电路仿真系统,下面先以触发角为0度,负载为纯电阻负载为例.................................................................................................... .. (12)2.仿真参数.................................................................................................... (12)3.仿真波形与分析.................................................................................................... (14)实验三:交流-交流变换电路................................................................................................19(一)实验目的.................................................................................................... . (19)(三)实验过程与结果分析 (19)1)晶闸管单相交流调压电路 (19)实验四:逆变电路.................................................................................................... . (26)(一)实验目的.................................................................................................... . (26)(二)实验内容.................................................................................................... . (26)实验五:单相有源功率校正电路 (38)(一)实验目的.................................................................................................... . (38)(二)实验内容.................................................................................................... . (38)个性化作业:................................................................................................ . (40)(一)实验目的:................................................................................................ . (40)(二)实验原理:................................................................................................ . (40)(三)实验内容.................................................................................................... . (40)(四)结果分析:................................................................................................ . (44)(五)实验总结:................................................................................................ . (45)实验一:常用电力电子器件特性测试(一)实验目的:掌握几种常用电力电子器件(SCR、GTO、MOSFET、IGBT)的工作特性;掌握各器件的参数设置方法,以及对触发信号的要求。
实验四 多路复用器与比较器的设计与仿真
实验四多路复用器与比较器的设计与仿真一、实验目的:实现多路复用器与比较器的设计与仿真。
二、实验内容1.用逻辑图设计四选一多路复用器,再用VHDL语言设计参数化的多路复用器;2.用逻辑图设计7485比较器,再用VHDL语言设计4位比较器。
8-3优先编码器。
三、实验步骤。
(一)、多路复用器、7485比较器的逻辑图及逻辑表达式。
1.多路复用器:逻辑框图:逻辑图:2.7485比较器:逻辑框图:逻辑图:(二)用VHDL语言设计多路复用器、7485比较器。
1.多路复用器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY duolu ISPORT(a: IN STD_LOGIC_VECTOR(1 DOWNTO 0);c0,c1,c2,c3: IN STD_LOGIC;y : OUT STD_LOGIC);END duolu;ARCHITECTURE arch OF duolu ISBEGINwith A select y<=c0 WHEN "00" ,c1 WHEN "01" ,c2 WHEN "10" ,c3 WHEN "11" ,'0' WHEN OTHERS ;END arch;2.7485比较器:library ieee;use ieee.std_logic_1164.all;entity bijiaoqi isport(agbl,albl,aebl:in std_logic;a0,a1,a2,a3:in std_logic;b0,b1,b2,b3:in std_logic;albo,aebo,agbo:out std_logic);end bijiaoqi;architecture bhv of bijiaoqi isbeginprocess(albl,aebl,agbl,a0,a1,a2,a3,b0,b1,b2,b3)beginif(a3>b3)thenagbo<='1';albo<='0';aebo<='0';else if(a3<b3) thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2>b2 )thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2<b2 )thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1>b1)thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1<b1)thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0>b0)thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0<b0)thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and aebl='1')then agbo<='0';albo<='0';aebo<='1';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='1' and albl='0'and aebl='0')thenagbo<='1';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='0' and albl='1'and aebl='0')thenagbo<='0';albo<='1';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='1' and albl='1'and aebl='0')thenagbo<='0';albo<='0';aebo<='0';else if(a3=b3 and a2=b2 and a1=b1 and a0=b0 and agbl='0' and albl='0'and aebl='0')thenagbo<='1';albo<='1';aebo<='0';end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end if;end process;end bhv;四、实验仿真结果。
比较器的设计与实现实验报告
比较器的设计与实现实验报告一、实验目的1.学习常用组合逻辑的可综合代码的编写;2.学习VHDL语言的编程思想与调试方法;3.学习通过定制LPM原件实现逻辑设计,通过波形仿真及硬件试验箱验证设计的正确与否。
4.设计一个能实现两个二位数大小的比较电路并实现利用LPM原件实现。
二、实验原理1.功能设A2、A1、B2、B1为输入端,F1、F2、F3为输出端,设A=A2A1。
B=B2B1(A2A1,B2B1表示两位二进制数)。
当A >B时,F1为1,F2、F3为0;当A<B时,F2为1,F1、F3为0;当A=B时,F3为1,F1、F2为0。
2.实现1)VHDL实现系统的VHDL设计通常采用层次化的设计方法,自顶向下划分系统功能并逐层细化逻辑描述。
VHDL 实体功能的描述可分为结构式、行为式和寄存器传输级(Register Transfer Level, RTL)描述三种。
此次实验结构比较简单,采用寄存器传输级描述的实现方式,选用并行信号赋值语句。
2)LPM实现参数化模板库(Library Parameterized Modules, LPM)提供了一系列可以参数化定制的逻辑功能模块。
采用LPM设计方法的主要优势在于设计文件与器件结构无关、高效布线和通用性三方面。
三、实验内容1.VHDL实现新建VHDL文件,输入以下代码说明:当VHDL设计电路反馈时,应将端口声明为buffer端口,而不是out端口。
若out端口需要反馈至电路内部时,常使用signal去实现反馈。
查看波形仿真网格参数设置:Simulation mode: Functional;End time: 2 us;Gride size: 100 ns;信号说明:a2a1和b2b1为二位二进制输入信号;f1f2f3 为三位二进制输出信号;数据信号参数设置:a2a1: Count Value→Counting→Increment by:01Count Value→Timing→Count every:400.0ns b2b1: Count Value→Counting→Increment by:01Count Value→Timing→Count every:100.0ns 管脚绑定:下载测试:程序下载完成后,由于管脚86、87、88、89均为关闭状态,即A、B输入均为00,f1f2f3输出为010,故只有管脚41所对应的二极管亮。
实验五--4选1多路复用器和4位比较器设计与仿真
实验五 4选1多路复用器和4位比较器设计与仿真班级信息安全一班姓名邓一蕾学号2指导老师袁文澹一、实验目的1.熟悉QuartusⅡ仿真软件的基本操作,并用VHDL语言和逻辑图设计四选一多路选择器,4位比较器。
二、实验内容1.参照芯片74LS153的电路结构,用逻辑图和VHDL语言设计四选一多路复用器;2.从QuartusⅡ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85三.实验原理1.(1)四选一多路选择器逻辑电路的原理4选1多路选择器,GN为使能端,AB为选择通道控制端,c0,c1,c2,c3为数据输入端.Y为输出端。
当GN为1时,y=0;当GN为0,AB=00时,Y为c0的值;当GN为0,AB=01时,Y为c1的值;当GN为0,AB=10时,Y为c2的值;当GN为0,AB=11时,Y为c3的值;(2)通过实验实现逻辑的原理2.(1)4位比较器的逻辑电路图A0,B0,A1,B1,A2,B2,A3,B3为相比较数输入端,ALBI,AEBI,AGBI为级联输入端ALBO,AEBO,AGBO为比较输出端。
2)通过实验实现逻辑的逻辑功能表为四、实验方法与步骤实验方法:采用基于FPGA进行数字逻辑电路设计的方法。
采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。
1.4选1多路选择器实验步骤:编写源代码。
打开QuartusⅡ软件平台,点击File中得New建立一个文件。
编写的文件名与实体名一致,点击 as以“.vhd”为扩展名存盘文件。
2、按照实验箱上FPGA的芯片名更改编程芯片的设置。
操作是点击Assign/Device,选取芯片的类型。
建议选“Altera的EPF10K20TI144_4”3、编译与调试。
确定源代码文件为当前工程文件,点击Complier进行文件编译。
多路复用器与比较器的设计与仿真
实验五多路复用器与比较器的设计与仿真一、实验目的1.通过实验再次学习VHDL这一有用的语言。
2. 运用VHDL语言来设计和仿真,更好的了解四选一多路复用器的逻辑特性。
3. 运用VHDL语言来设计和仿真,更好的了解4位大小比较器的逻辑特性。
二、实验内容1.参照芯片74LS153的电路结构,用逻辑图和VHDL语言设计四选一多路复用器;2.从Maxplus中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析。
三、实验方法1、了解74135的逻辑功能。
1.74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器用途:可以对多个输入信号进行选择。
电视机里的频道转换开关就是一个多路开关。
逻辑图如下:VHDL程序2.7485:4位大小比较器逻辑图如下:四实验过程一.仔细预习实验,认真完成实验报告,以便充分利用课堂时间,在最短的时间内达到最好的效果。
二.通过VHDL数据流描述,得到波形图。
三.对得到的波形图进行分析,研究。
四.用FPGA验证结果的正确性。
具体如下一VHDL程序1.启动Maxplus II2.新建一个文本编辑文件,输入设计的VHDL语言3.编译。
点击file→save as,保存文件,扩展名为vhd,选择芯片类型为EPF10K20TI144-4,保存并编译,出现0 error,0 warnings则编译通过。
4.仿真波形。
点Max+plus II→Waveform editor,出现波形图的设置界面,然后点Node→Enter Nodes from SNF→list,将输入输出端添加到界面,并设置其周期和输入波形,保存后,点Max+plus II→Simulator,即可仿真出输出的波形。
5编译。
点击file→save as,保存文件,选择芯片类型为EPF10K20TI144-4,保存并编译,出现0 error,0 warnings则编译通过。
EDA实验报告(四选一、四位比较器、加法器、计数器、巴克码发生器)
EDA实验报告(四选⼀、四位⽐较器、加法器、计数器、巴克码发⽣器)实验1 4选1数据选择器的设计⼀、实验⽬的1.学习EDA软件的基本操作。
2.学习使⽤原理图进⾏设计输⼊。
3.初步掌握器件设计输⼊、编译、仿真和编程的过程。
4.学习实验开发系统的使⽤⽅法。
⼆、实验仪器与器材1.EDA开发软件⼀套2. 微机⼀台3. 实验开发系统⼀台4. 打印机⼀台三、实验说明本实验通过使⽤基本门电路完成4选1数据选择器的设汁,初步掌握EDA设计⽅法中的设汁输⼊、编译、综合、仿真和编程的过程。
实验结果可通过实验开发系统验证,在实验开发系统上选择⾼、低电平开关作为输⼊,选择发光⼆极管显⽰输出电平值。
本实验使⽤Quartus II软件作为设计⼯具,要求熟悉Quartus II软件的使⽤环境和基本操作,如设计输⼊、编译和适配的过程等。
实验中的设计⽂件要求⽤原理图⽅法输⼊,实验时,注意原理图编辑器的使⽤⽅法。
例如,元件、连线、⽹络爼的放巻⽅法和放⼤、缩⼩、存盘、退岀等命令的使⽤。
学会管脚锁定以及编程下载的⽅法等。
四、实验要求1.完成4选1数据选择器的原理图输⼊并进⾏编译;2.对设计的电路进⾏仿真验证:3.编程下载并在实验开发系统上验证设计结果。
五、实验结果管脚分配:N;如kne DteOwn LccatMi Pwecgj G【c^p I/ODo-l 2 GC6P I ifo Xfl-c t nk A Igt PHJ V21Bl NO AS-VLUTrifd2?B Irpjt PIW.VI DJ_W ^>VLVTTl(d 3? co1r(xt P1M IPS5a^Lumid 庐Cl Irpul P1W.KC654a>vivin(d 5* C213P1KLP2S M」JO a>vLum(d 6* C3Inpjt叽⼼:■? ^3-VLVTn(d I* GK incut PJWJtfH7B7JJ1 a>VLUTn(d8o v O J U X A7B7 M J S3-VLVTn(d9<wvx4fr?实验2 四位⽐较器⼀、实验⽬的1. 设计四位⼆进制码⽐较器,并在实验开发系统上验证。
模电multisim仿真报告
模电multisim仿真报告多路复用就是将多个信号通过一个传输线路并行传输,共同分享传输带宽。
多路复用技术可以提高传输系统的使用效率,它也是信息系统中广泛使用的技术之一。
本实验的目的是在MultiSIM中模拟一个给定的4路模拟多路复用器,并使用网表进行检查。
首先,根据模拟实验实验要求,在MultiSIM软件中,利用基本电路元件,引出4路MIXER多路复用器。
其中,每一路多路复用器由四个电路元件(压控管,二极管,可选电容和可选电感)组成,每根调制线连接一个输出,经过可选的调制器可以实现线性调制,产生调制载波。
接下来,连接相应的测量仪表,如示波器、频率计、谐波畸变分析仪等,以检测多路复用器的调制输出信号。
其中,按照实验要求,在示波器设置中将模拟量参数设置为:发生类型——正弦波;变化波形型——上升或下降型;频率—— 2KHz;幅度0.5V,偏置电压1.5V,正电源3V,负电源-3V,耦合方式——直流耦合等。
此外,在示波器上采样通道设置为4ch,每个通道的波形型2应设置为正弦形。
最后,使用网表检查多路复用系统波形的实验结果,并根据实验结果概括出:经过可选的调制器对四路信号进行线性调制后,四个信号的调制载波在输出端按时间轮流在总线上传输,多路复用器的较低发生器频率2KHz,高发生器频率4KHz,4路调制信号的峰值电压分别为1.5V,2.25V,2.5V,3.25V,幅度在0.5V,相位之间有90度的差别,说明多路复用器的信号已经正确的实现了4路线性调制输出,实验效果理想。
总之,本实验通过Multi-SIM仿真程序模拟出一个具有四路MIXER多路复用器的实验电路,并使用示波器和网表检查其调制输出信号的实验结果,经过测试,四路信号的调制载波在输出端按时间轮流在总线上传输,实验结果表明多路复用器是一种有效提高传输系统使用效率的技术。
实验五 4选1多路复用器和4位比较器设计与仿真
实验五 4选1多路复用器和4位比较器设计与仿真班级信息安全一班姓名邓一蕾学号201208060106指导老师袁文澹一、实验目的1.熟悉QuartusⅡ仿真软件的基本操作,并用VHDL语言和逻辑图设计四选一多路选择器,4位比较器。
二、实验内容1.参照芯片74LS153的电路结构,用逻辑图和VHDL语言设计四选一多路复用器;2.从QuartusⅡ中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85三.实验原理1.(1)四选一多路选择器逻辑电路的原理4选1多路选择器,GN为使能端,AB为选择通道控制端,c0,c1,c2,c3为数据输入端.Y 为输出端。
当GN为1时,y=0;当GN为0,AB=00时,Y为c0的值;当GN为0,AB=01时,Y为c1的值;当GN为0,AB=10时,Y为c2的值;当GN为0,AB=11时,Y为c3的值;(2)通过实验实现逻辑的原理2.(1)4位比较器的逻辑电路图A0,B0,A1,B1,A2,B2,A3,B3为相比较数输入端,ALBI,AEBI,AGBI为级联输入端ALBO,AEBO,AGBO为比较输出端。
2)通过实验实现逻辑的逻辑功能表为四、实验方法与步骤实验方法:采用基于FPGA进行数字逻辑电路设计的方法。
采用的软件工具是QuartusII软件仿真平台,采用的硬件平台是Altera EPF10K20TI144_4的FPGA试验箱。
1.4选1多路选择器实验步骤:编写源代码。
打开QuartusⅡ软件平台,点击File中得New建立一个文件。
编写的文件名与实体名一致,点击File/Save as以“.vhd”为扩展名存盘文件。
2、按照实验箱上FPGA的芯片名更改编程芯片的设臵。
操作是点击Assign/Device,选取芯片的类型。
建议选“Altera的EPF10K20TI144_4”3、编译与调试。
确定源代码文件为当前工程文件,点击Complier进行文件编译。
电子科技大学_数字逻辑综合实验_4个实验报告_doc版
电子科技大学计算机学院标准实验报告(实验)课程名称数字逻辑综合实验xxx20160xxxxxxxxx电子科技大学教务处制表电子科技大学实验报告 1学生姓名:xxx 学号:指导教师:吉家成米源王华一、实验项目名称:中小规模组合逻辑设计二、实验目的:1.掌握非门、或门、与非门、异或门、数据选择器的逻辑功能。
2.掌握常有逻辑门电路的引脚排列及其使用方法。
3.采用中小规模逻辑门进行组合逻辑设计,掌握组合逻辑的设计方法。
三、实验内容:1.逻辑输入采用实验箱的K1-K11,逻辑输出接L1-L10。
测试实验箱上的HD74LS04P(非门)、SN74LS32N(或门)、SN74LS00N(与非门)、SN74HC86N(异或门)、SN74HC153(数据选择器、多路复用器)的逻辑功能。
2.采用小规模逻辑器件设计一位数据比较器:设一位数据比较器的输入为A、B,比较A>B,A=B,A<B,输出三个比较结果,输出采用低电平有效。
3.分别用小规模和中规模逻辑器件设计3输入多数表决器:设输入为A、B、C,当三个输入有两个或两个以上同意时,输出结果为同意,输入、输出的同意均为高电平有效。
四、实验原理:1.一块74LS04芯片上有6个非门。
非门的逻辑功能如表1所示,74LS04(非门、反相器)的逻辑符号和引脚排列如下图所示。
图1 74LS04的逻辑符号和引脚排列2.74LS32(或门)的逻辑符号、引脚排列如下图所示。
图2 74LS32的逻辑符号和引脚排列输入输出YA BL L LL H HH L HH H H3.74LS00输入输出YA BL L HL H HH L HH H L图3 74LS00逻辑符号和引脚排列4.一块74HC86芯片上有4个异或门。
异或门的逻辑功能如表4所示,74HC86(异或门)的逻辑符号、引脚排列如图4所示。
表4异或门的逻辑功能输入输出YA BL L LL H HH L HH H L图4 74HC86逻辑符号和引脚排列5.74HC153芯片上有两个4选1数据选择器。
八选一数据选择器和四位数据比较器verilog实验报告
八选一数据选择器和四位数据比较器v e r i l o g实验报告内部编号:(YUUT-TBBY-MMUT-URRUY-UOOY-DBUYI-0128)Verilog HDV数字设计与综合实验报告微电子0901班姓名:袁东明 _学号:_04094026一、实验课题:1.八选一数据选择器2.四位数据比较器二、八选一数据选择器Verilog程序:2.1主程序module option(a,b,c,d,e,f,g,h,s0,s1,s2,out);input [2:0] a,b,c,d,e,f,g,h;input s0,s1,s2;output [2:0] out;reg [2:0] out;always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begincase({s0,s1,s2})3'd0 : out=a;3'd1 : out=b;3'd2 : out=c;3'd3 : out=d;3'd4 : out=e;3'd5 : out=f;3'd6 : out=g;3'd7 : out=h;endcaseendendmodule2.2激励程序module sti;reg [2:0] A,B,C,D,E,F,G,H;reg S0,S1,S2;wire [2:0] OUT;option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT);initialbeginA=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0;#100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=0; #100A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=1;S2=1; endendmodule三、四位数据比较器3.1主程序module fourcompare(a,b,c);input[3:0] a,b;output [1:0] c;reg[1:0] c;always@(a or b)beginif(a>b)c=2'd2;else if(a<b)c=2'd1;elsec=2'd0;endendmodule3.2激励程序module sti;reg [3:0] A,B;wire [1:0]C;fourcompare fte(A,B,C); initialbeginA=2'd0;B=2'd1;#100 A=2'd2;B=2'd1;#100 A=2'd1;B=2'd1;endendmodule四、实验波形图截图:4.1八选一数据选择器4.2四位数据比较器四、波形分析及实验心得:4.1.波形分析1.八选一数据选择器输入数据为A=000,B=001,C=010,D=011,E=100,F=101,g=110,h=111;S0,S1,S2,为选择控制端,它们组成一个三位数,记为enable,控制数据的输出,其中S0为最高位,S1次之,S2为最低位。
比较器电路 实验报告
比较器电路实验报告比较器电路实验报告引言:比较器电路是电子电路中常见的一种基本电路,它能够将两个电压进行比较,并输出相应的逻辑信号。
在本次实验中,我们通过搭建比较器电路并对其性能进行测试,进一步探究比较器电路的工作原理和应用。
一、实验目的本次实验的主要目的是:1. 理解比较器电路的工作原理;2. 掌握比较器电路的搭建方法;3. 测试比较器电路的性能,如输出电压的稳定性和响应时间。
二、实验器材和原理1. 实验器材:- 比较器芯片 LM311;- 电阻、电容等基本元件;- 示波器;- 直流电源。
2. 实验原理:比较器电路的核心是比较器芯片,本次实验中我们使用的是LM311。
该芯片具有高速响应、宽电压范围和较低功耗等特点,适用于各种比较器电路的搭建。
比较器电路的基本原理是将两个输入电压进行比较,并输出相应的逻辑信号。
在实验中,我们将通过改变输入电压的大小和极性,观察比较器的输出变化情况。
三、实验步骤1. 搭建基本比较器电路:根据实验原理,我们将比较器芯片LM311与电阻、电容等元件进行连接,搭建基本的比较器电路。
具体的连线方式可以参考芯片的数据手册。
2. 设置输入电压:通过调节电源的输出电压,我们可以改变输入电压的大小和极性。
在实验中,我们将分别测试正向偏置和反向偏置的情况,并记录输出电压的变化。
3. 测试输出电压的稳定性:在设置好输入电压后,我们将使用示波器测量比较器的输出电压,并观察其稳定性。
通过改变输入电压的大小和频率,我们可以进一步了解比较器在不同工作条件下的表现。
4. 测试比较器的响应时间:在实验中,我们还将测试比较器的响应时间。
通过给比较器输入一个矩形波信号,我们可以观察到输出信号的变化情况,并通过示波器测量响应时间。
四、实验结果与分析根据实验步骤,我们得到了一系列比较器的输出数据。
通过对这些数据的分析,我们可以得出以下结论:1. 比较器的输出电压与输入电压之间存在一定的阈值差,当输入电压超过或低于该阈值时,输出电压会发生跳变。
比较器实验报告
比较器实验报告比较器实验报告引言:比较器是电子电路中常见的一个模块,用于比较两个电压信号的大小。
在实际应用中,比较器广泛应用于模拟电路、数字电路以及各种自动控制系统中。
本实验旨在通过搭建一个简单的比较器电路,探究比较器的工作原理和性能特点。
一、实验目的本实验的主要目的是:1. 理解比较器的基本原理和工作方式;2. 掌握比较器的性能参数测量方法;3. 分析比较器的性能特点。
二、实验器材1. 电源供应器2. 函数发生器3. 示波器4. 电阻、电容等元件5. 比较器集成电路三、实验步骤1. 搭建基本比较器电路根据实验要求,搭建一个基本的比较器电路。
将比较器的输入端分别连接到函数发生器和电压源,输出端连接到示波器。
调节函数发生器的频率和幅度,观察示波器上的输出波形。
2. 测量比较器的阈值电压将函数发生器的频率设定为一定值,逐渐增加输入电压的幅度,观察比较器的输出变化。
记录比较器切换输出的电压阈值,即为阈值电压。
3. 测量比较器的响应时间通过改变函数发生器输出信号的频率和幅度,记录比较器的切换时间,即为响应时间。
4. 测量比较器的输出电平保持函数发生器输出信号的幅度和频率不变,逐渐改变输入电压的幅度,记录比较器的输出电平。
5. 分析比较器的性能特点根据实验数据,分析比较器的阈值电压、响应时间和输出电平的关系。
进一步探究比较器的性能特点和应用场景。
四、实验结果与分析根据实验数据,我们得到了比较器的阈值电压、响应时间和输出电平的相关数据。
通过对这些数据的分析,我们可以得出以下结论:1. 阈值电压与输入信号频率无关,但与幅度有关。
随着输入信号幅度的增加,阈值电压也会相应增加。
2. 响应时间与输入信号频率和幅度均有关。
在频率较低的情况下,响应时间较长;而在频率较高的情况下,响应时间较短。
3. 输出电平与输入信号幅度呈正比关系。
输入信号幅度越大,输出电平也越高。
综上所述,比较器是一种非常常见且重要的电子元件,它在各种电子电路和控制系统中起着至关重要的作用。
9-比较器仿真实验
实验九比较器电路仿真实验1、方波发生器电路如图9-1所示。
(1)仿真输出波形,计算方波的周期并与仿真值进行对比。
(2)改变元件的参数,观察输出波形的变化。
Rf图9-12、矩形波发生器电路如图9-2所示。
C上的电压波形,计算矩形波的周期并与仿真值进行对比。
(1)仿真输出波形及电容1(2)改变元件的参数,观察输出波形的变化。
Rf R43、双极点Butterworth 低通滤波器电路如图9-3所示,仿真滤波器特性曲线及截止频率,并与计算值进行比较。
图9-34、阶梯波发生器电路如图9-4所示,场效应管参数已知,仿真场效应管的转移特性曲线及输出波形,分别改变场效应管模型参数Vto 和积分电容C 3 的值,观察输出阶梯波的变化。
场效应管参数: .model J2N4393 NJF(Beta=9.109m Betatce=-.5 Rd=1 Rs=1 Lambda=6m Vto=-0.5+ Vtotc=-2.5m Is=10f Isr=33f N=1 Nr=2 Xti=3 Alpha=20.98u+ Vk=123.7 Cgd=4.57p M=.4069 Pb=1 Fc=.5 Cgs=4.06p Kf=123E-18 + Af=1)* National pid=51case=TO18*88-07-13 bam BVmin=40D4图9-45、反相比例运算放大电路如图9-5所示,设集成运放型号为μA741,且运放的电源电压12+=CC V V ,12-=EE V V 。
若输入信号幅度为1±V ,周期为100μs 的方波脉冲。
试求输出电压1o v 、o v 的波形。
图9-56、 电路如图9-6所示,运放μA741的电源电压15+=CC V V ,15-=EE V V,电容器的初始电压0)0(=C v 。
(1) 若输入500=f Hz ,幅度为4±V 的方波信号时,试观察输出电压o v 的波形。
(2) 改变方波频率,观察波形变化,若波形失真应如何调整电路参数?验证分析。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验四多路复用器与比较器的设计与仿真
一、实验内容
1.参照芯片74LS153的电路结构,用VHDL语言设计四选一多路复用器;
2.从Maxplus中取7485器件(比较器)进行仿真与分析;用VHDL语言设计4位比较器,接着进行仿真与分析,电路逻辑结构参照芯片74x85。
二、电路功能介绍
1.74153:4选1多路复用器(4 to 1 Multiplexer),又叫4选1数据选择器用途:可以对多个输入信号进行选择。
电视机里的频道转换开关就是一个多路开关。
逻辑框图
逻辑功能表
逻辑图
2.7485:4位大小比较器(4-Bit Magnitude Comparator)
逻辑框图
逻辑功能表
一、4选1多路复用器
1.VHDL语言实现:
library IEEE;
use IEEE.std_logic_1164.all;
entity mux4 is
port( a,b,c,d:in STD_LOGIC;
sel:in STD_LOGIC_VECTOR(1 downto 0);
q:out STD_LOGIC);
end mux4;
architecture mux4_arch of mux4 is
begin
process(a,b,c,d,sel)
begin
case sel is
when "00"=>q<=a;
when "01"=>q<=b;
when "10"=>q<=c;
when "11"=>q<=d;
when others=>null;
end case;
end process;
end mux4_arch;
2.波形图:
3.逻辑图:
4.用途:可以对多个输入信号进行选择。
电视机里的频道转换开关就是一个多路开关。
5. 逻辑框图
6.逻辑功能表
二、比较器
1.仿真
2.VHDL语言实现:
library ieee;
use ieee.std_logic_1164.all;
entity v_7485 is
port(AL,AG,AE:in std_logic;
A,B:in std_logic_vector (3 downto 0);
ALO,AGO,AEO:out std_logic
);
end v_7485;
architecture ic_7485 of v_7485 is
signal YY:std_logic_vector(7 downto 0); begin
process(A,B,AL,AE,AG)
begin
if(A(3)>B(3)) then
ALO<='0'; AEO<='0'; AGO<='1';
elsif(A(3)<B(3)) then
ALO<='1'; AEO<='0'; AGO<='0';
elsif(A(2)>B(2)) then
ALO<='0'; AEO<='0'; AGO<='1';
elsif(A(2)<B(2)) then
ALO<='1'; AEO<='0'; AGO<='0';
elsif(A(1)>B(1)) then
ALO<='0'; AEO<='0'; AGO<='1';
elsif(A(1)<B(1)) then
ALO<='1'; AEO<='0'; AGO<='0';
elsif(A(0)>B(0)) then
ALO<='0'; AEO<='0'; AGO<='1';
elsif(A(0)<B(0)) then
ALO<='1'; AEO<='0'; AGO<='0';
else
if(AG='1'and AL='0'and AE='0') then
AGO<='1';ALO<='0';AEO<='0';
elsif(AG='0'and AL='1'and AE='0') then
AGO<='0';ALO<='1';AEO<='0';
elsif( AE='1') then
AGO<='0';ALO<='0';AEO<='1';
elsif(AG='1'and AL='1'and AE='0') then
AGO<='0';ALO<='0';AEO<='0';
else
AGO<='1';ALO<='1';AEO<='0';
end if;
end if;
end process;
end ic_7485;
3.逻辑图:
4.逻辑功能表。