一种新型线阵CCD驱动电路的设计方法
基于CPLD的线阵CCD的驱动电路设计与实现
ห้องสมุดไป่ตู้
摘 要: C C D驱动时序 电路 的设计实现是其应用 的关键 问题。该 文在分析 T C D 1 2 0 9 D线阵 C C D的工作原 理和驱动时序等特性的基础上 , 提 出了一种基 于 C P L D的线阵 C C D驱动电路的设计方法 , 其 中选用 MA X I l 系歹 4 C P L D作为硬件设计平台 , 运用 V H D L 语 言设计 驱动时序电路 。该设计 使用 Q u a r t u s I I 软件对所设
l o g i c d e v i c e( C P L D ) i n t h i s p a p e r . I n o r d e r t o r e a l i z e t h e t i me s e q u e n c e d r i v i n g , t h e MA X I I d e v i c e s W a S u s e d
计的驱动程序进行 了仿真 , 仿真与实验结果表 明该方案设计可行 , 电路结构简单 , 集成度较 高 , 实用性强 ,
并具有一定通用性 。 关键词 : 线阵 C C D ; 驱动时序 电路 ; 复杂可编程逻辑器件 ; V H D L
中图分类号 : T N3 8 6 . 5 文献标识码 : A 文章 编号 : 1 0 0 3 - 0 1 0 7 ( 2 0 1 3 ) 1 0 — 0 0 1 3 - 0 5
线阵CCD驱动电路的设计
线阵CCD驱动电路的设计摘要本文论述了线阵CCD驱动电路的工作原理和现状,选择了基于CPLD驱动线阵CCD工作的方案。
采用MAXⅡ器件的EPM240T100C5N为控制核心,JTAG 端口向CPLD中下载程序,以TCD1500C为例,设计了基于CPLD的线阵CCD 驱动电路,完成了硬件电路的原理图的设计,编写了SH、φ、RS脉冲的程序,为CCD工作提供了驱动脉冲,并实现了软件调试。
通过QUARTUSⅡ软件平台对程序的模拟仿真,表明本文研究的基于CPLD的线阵CCD驱动电路能够满足CCD工作所需的驱动脉冲,达到了课题要求。
关键词:线阵CCD,复杂可编程逻辑器件,驱动时序,硬件描述语言The Design of Linear CCD Driving CircuitABSTRACTIn t his paper, working principle and current application status of the linear CCD driving circuit is introduced. After comparition, CPLD is selected as the driving methodology. EPM240T100C5N of MAXⅡdevices is selected as the control center and JTAG is used as the download program port. With TCD1500C for example, linear CCD driving circuit based on CPLD is designed, the hardware design is finished. The software procedures for the SH, φ,RS pulses, which provides driving pulses for the operation of CCD and realizes the regulation is developed and debugging. Software simulation based on the QUARTUSⅡplatform prooved that this linear CCD driving circuit can meet the driving pulses needed for CCD activities and satisfy the requirements for the subject.KEY WORDS:linear CCD, complex programmable logic device, driving time, hardware description language目录第一章绪论 (1)1.1课题的背景、意义 (1)1.2课题的研究内容 (1)1.3国内外的研究状况及发展趋势 (1)第二章总体方案设计 (3)第三章硬件设计 (5)3.1线阵CCD驱动电路的工作原理 (5)3.1.1 线阵CCD的工作原理 (5)3.1.2 TCD1500C的主要特性参数 (7)3.1.3 驱动电路的工作原理 (9)3.2CPLD器件的选择 (10)3.2.1 可编程逻辑器件的发展历程............................ 错误!未定义书签。
CCD驱动电路及处理电路设计
线阵CCD系统设计童列树驱动电路设计:TCD1209D采用双向时钟驱动,要正常驱动此CCD工作,除了要提供电源外,还需提供6个驱动的信号:SH转移脉冲信号、RS复位脉冲信号、CP缓冲控制脉冲信号、Ф1和Ф2(Ф2b)模拟移位寄存器的驱动双相脉冲信号。
其中Ф2和Ф2b都是Ф2转移电极的驱动信号,只是Ф2b在模拟移位寄存器上所处的位置最靠近输出端,信号电荷将从Ф2b电极下的势阱通过输出栅转移到输出端。
但是Ф2b和Ф2的时序是一样的,可以合为一路信号,所以CCD实际上只要5路信号。
转移脉冲SH的高电平期间,驱动脉冲Ф1必须为高电平,而且保证SH的下降沿落在Ф1的高电平上,这样才能保证光敏区的信号电荷并行地向模拟移位寄存器的Ф1电极转移。
完成信号电荷的并行转移后,SH变为低电平,光敏区与模拟位移寄存器被隔离。
在光敏区进行光积累的同时,模拟位移寄存器在驱动时钟中Ф1和Ф2的作用下,将转移到模拟移位寄存器的Ф1电极里的信号电荷向输出方向移动,在输出端得到被光强调制的序列脉冲输出。
ADC的选择:对于驱动电路来说,还要对ADC进行控制,系统所用的数模转换芯片是AD9224。
AD9224是一款12位,40MSPS的高性能的模数转换器,它具有高性能采样保持放大器和参考电压参考。
因为AD9224使用的时候受ADC 时钟的控制,图3所示是其工作的采样时序图。
AD9224 概述:AD9224是一款单芯片、12位、40 MSPS 模数转换器(ADC ),采用单电源供电,内置一个片内高性能采样保持放大器和基准电压源。
AD9224采用多级差分流水线架构,内置输出纠错逻辑,在40 MSPS 数据速率时可提供12位精度,并保证在整个工作温度范围内无失码。
AD9224 特性∙ 低功耗:415 mW ∙ +5 V 单电源 ∙ 保证无失码∙ 微分非线性(DNL )误差:±0.33 LSB ∙ 片内采样保持放大器和基准电压源 ∙ 信噪比(SNR ):68.3 dB∙无杂散动态范围(SFDR ):81 dB ∙ 超量程指示∙ 数据输出格式:标准二进制 ∙ 28引脚SSOP 封装 ∙与3 V 逻辑兼容AD9224 参数AD9224 基本参数分辨率(Bits) 12吞吐率 40 MSPS AD9224 其他特性 工作电压(V) 4.75-5.25 输入通道数 1AD9224 封装与引脚SSOP28信号的调理:在带有模拟电路的设计中,信号的调理成为不可缺少部分。
积分可调的线阵CCD驱动设计与实现
技 术前 沿 ・
积分 可调的线阵 C D驱动设计与实现 C
田 庄
( 汉 理 工 大 学 理 学 院 湖 北 武 汉 武
40 7 ) 3 0 0
【 摘 要 】 彩 色线 阵 图 像 传感 器 TCD2 5 D 为例 ,分 析 了 电荷 耦 合 器件 的 工 作 原 理及 驱 动 要 求 ,针 对 改 变 光积 分 时 间 的 问题 ,提 以 22 出 r两种 解 决 方 案 ,并 进 行 仿 真 测试 , 结 果 证 明 没计 方 案 可 进 行 光 积 分 调 节 。 [ 键词】 关 CCD 积 分 可 调 TCD2 5 D 22 【 图分类号】 中 TM [ 献标 识码】 文 A 【 章 编 号 ]0 7 4 6 ( 0 0)0 —0 6 —0 文 l 0 —9 1 2 1 3 07 2
改 变 以 适 应 测 量 要 求 。 CCD 的 光 积 分 时 间 太 短 , 光 敏 元 内 积 累 的 电 荷 少 , 输 出 信
i r s n f ii g
—
e g (lc t e d eco k) n h i(o n e <c n tt e f u tr o s)h n c
c oun e < = c unt r 1 tr o e+ :
的 读取 l 3 】
l
cnt o s<=2 2 5 ( d +1; 2 5 *Na d ) p p o e sco k a: r cs(lc )
b g e m
荷 耦 合 器 件 , 作 为 一 种 新 型 的 光 电 传 感 器
件 ,具 有 分 辨 率 高 、性 能稳 定 、 寿命 长等 特 点 , 在 现 代 光 电 子 学 和 非 接 触 测 量 等 方 面有广泛的应用 。 CCD 的 正 常 ] 作 对 驱 动 时 序 要 求 严 二 格 , 在 不 同 的 工 作 环 境 , 光 积 分 时 间 也 应
线阵CCD驱动电路的可编程设计与实现
1 冼 进. Verilog HDL数字控制系统设计实例. 北京 : 中国水利水 电出版社 , 2007: 232—235
2 元华明. 高分辨率全帧 CCD 驱动电路技术研究. 西安 :中国科学 院研究生院 , 2006: 7—10
3 求是科技. CPLD / FPGA 应用开发技术. 北京 : 人民邮电出版社 , 2005: 23—35
END;
2. 3 驱动电路时序仿真与实验测试 采 用 EPM7064STC44210 作 为 目 标 器 件 , 用
VHDL 语言描述整个设计 ,并在 QuartusⅡ5. 1 集成 环境下完成整个设计的输入 、编译 、仿真 ,最终生成 编程烧录文件 。在仿真过程中 ,将 CP的周期设为 250 ns,即 CP的频率为 4 MHz。仿真波形图如图 3 所示 。
4106
科 学 技 术 与 工 程
8卷
从仿真图中可知 , sh高电平脉冲宽度为 4个 CP 周期即 1 μs, fm、fccd频率分别为 2 M、0. 5 M ,恰好 是 TCD132D 手册中的典型驱动频率要求 ,可见符合 设计要求 。
由于 sh 的 时 间 比 较 长 (在 本 设 计 中 设 定 为 10000个 CP周期 ) ,在仿真图中不能完全表现出来 , 然后 对 实 际 电 路 使 用 示 波 器 (型 号 为 R IGOL DS5152M )进行测量 ,实测波形图如图 4、图 5所示 , 对比可得出仿真结果和实测结果吻合 。电路可稳 定输出 1M 的像元同步脉冲 (它与 CCD 驱动脉冲同 频率 ) , 此处行同步脉冲周期即 CCD 积分时间为 1. 156 m s,实际应用时由于考虑到光照强度的问题 , 积分时间没有设为 2. 5 m s,而是 1. 156 m s(编程时 r 最大值取为 4 624) ,这里即体现了积分时间可调的 可编程设计思想 。
基于CPLD技术的新型线阵CCDTCD1501的驱动时序设计与实现
第26卷第3期2007年9月武 汉 工 业 学 院 学 报Journal of W uhan Polytechnic University Vol 126No 13Sep 12007 收稿日期:2007204217作者简介:李强(19802),男,河南省驻马店市人,助教。
文章编号:1009-4881(2007)03-0063-05基于CP LD 技术的新型线阵CCD TCD1501的驱动时序设计与实现李 强(武汉工业学院数理科学系,湖北武汉430023)摘 要:为满足工业测量现场的实际需要,同时克服以往CCD 驱动电路的缺点,本文设计和开发了一个新的线阵CCD 驱动电路。
该电路主要采用了CP LD 器件,同时充分发挥其“可编程”的技术特性,为用户提供了丰富的接口信号。
本文详细介绍了该驱动电路的驱动时序的设计思想。
实验结果表明:在该驱动时序作用下,驱动电路可以完全正常工作。
关键词:线阵CCD;复杂可编程逻辑器件;驱动时序;硬件描述语言中图分类号:T N 386.5 文献标识码:A0 引言以往的CCD [1]驱动电路几乎全部是由普通数字电路芯片实现的,需要焊接很多电子元件,导致整个电路体积较大、设计复杂且过于偏重于硬件的实现。
其主要缺点是工作量大、调试困难、容易出错和灵活性较差,特别是当驱动电路工作在较高频率时,干扰问题严重,系统工作不稳定。
即使目前有些驱动电路使用了CP LD 技术[2],但其功能简单,灵活性、扩展性和适用性依然较差,没有充分体现出CP LD 器件的“可编程”的技术特点。
本文给出了一种基于CP LD 技术的线阵CCD 驱动电路的设计方案。
通过计算机仿真和实际电路测试,结果表明其可以很好地解决以往CCD 驱动电路中存在的以上缺陷,具有重要的实用价值。
1 线阵CCD 驱动电路的工作原理1.1 TCD 1501驱动电路的主要特性T CD1501是由T OSH I B A 公司生产的一款高灵敏度、低暗电流、内置采样保持电路的5000像元的线阵CCD 。
基于CPLD 的线阵CCD 的驱动电路
1 总体方案设计线阵CCD 一般不能直接在测量装置中使用,因此CCD 驱动信号的产生及输出信号的处理是设计高精度、高可靠性和高性价比线阵CCD 驱动模块的关键。
传统驱动CCD 的设计方法使CCD 的工作频率较慢,信号输出噪声增大,不利于提高信噪比,不能应用于要求快速测量的场合。
而用器件CPLD 进行驱动,则可提高脉冲信号相位关系的精度,以及提供给CCD 驱动脉冲信号的频率,而且调试容易、灵活性高。
目前,在工业技术中,多采用基于CPLD 的实现线阵CCD 的驱动。
系统框图如图1 所示。
图1 基于CPLD 的线阵CCD 的驱动电路2 硬件设计2. 1 CPLD 的硬件电路的设计以CPLD(Complex Programmable Logic Device)器件为核心,设计线阵CCD 的驱动电路。
然后在其基础上扩展,选择其他元器件,设计出与其相配套的电路部分,经调试后组成硬件系统。
CPLD 的电路由5 部分组成,有源晶振向EPM240T100C5N 的U1A 的IO/GCLK0 口输入时钟脉冲CLK0,提供了CPLD 工作的时钟脉冲,因为时序逻辑的需要。
U1C 从JTAG 端口中下载程序,U1B 的52、54、56、58 口输出脉冲信号。
U1D 管脚接3. 3 V 电压,U1E 管脚接地。
电路原理如图2 所示。
图2 CPLD 的电路原理图2. 2 DC /DC 模块的设计为得到CPLD 所需的电压,外接电源需要经过DC /DC 模块进行转换。
为进一步减少输出纹波,可在输入输出端连接一个LC 滤波网络,电路原理如图3所示。
图3 DC/DC 模块的电路原理图设计2. 3 稳压模块的由DC /DC 模块转换的直流电压,经过一个R11电阻和一个发光二极管接地,发光二极管指示灯,然后从AMS 芯片的Vin端输入,进入到芯片的内部,经过一系列的计算,从Vout输出3. 3 V 电压,GND 端端口接地。
为消除交流电的纹波,电路采用电容滤波,分别用0. 1 μF 的极性电容和10 μF 的非极性电容组成一个电容滤波网络。
线阵CCD图像传感器驱动电路的设计
线阵CCD图像传感器驱动电路的设计1 引言电荷耦合器件(CCD.Charge(Couple DevICe)是20世纪60年代末期出现的新型半导体器件。
目前随着CCD器件性能不断提高.在图像传感、尺寸测量及定位测控等领域的应用日益广泛.CCD应用的前端驱动电路成本价格昂贵,而且性能指标受到生产厂家技术和工艺水平的制约.给用户带来很大的不便。
CCD驱动器有两种:一种是在脉冲作用下CCD器件输出模拟信号,经后端增益调整电路进行电压或功率放大再送给用户:另一种是在此基础上还包含将其模拟量按一定的输出格式进行数字化的部分,然后将数字信息传输给用户,通常的线阵CCD摄像机就指后者,外加机械扫描装置即可成像。
所以根据不同应用领域和技术指标要求.选择不同型号的线阵CCD器件,设计方便灵活的驱动电路与之匹配是CCD应用中的关键技术之一。
本文以TCD1501C型CCD图像传感器为例.介绍了其性能参数及外围驱动电路的设计.驱动时序参数可以通过VHDL程序灵活设置.该电路已成功开发并应用于某型非接触式位置测量产品中。
2 CCD工作原理CCD是以电荷作为信号,而不同于其他大多数器件是以电流或者电压为信号,其基本功能是信号电荷的产生、存储、传输和检测。
当光入射到CCD的光敏面时.CCD首先完成光电转换.即产生与入射光辐射量成线性关系的光电荷。
CCD的工作原理是被摄物体反射光线到CCD器件上.CCD根据光的强弱积聚相应的电荷.产生与光电荷量成正比的弱电压信号,经过滤波、放大处理,通过驱动电路输出一个能表示敏感物体光强弱的电信号或标准的视频信号。
基于上述将一维光学信息转变为电信息输出的原理,线阵CCD可以实现图像传感和尺寸测量的功能。
图1为CCD光谱响应曲线。
3 驱动电路的实现线阵CCD TCD1501C的主要技术指标如下:像敏单元数为5 000;像元尺寸为7μm×7μm;像元中心距为7μm;像元总长为35 mm;光谱响应范围为400 nm-1000 nm.光谱响应峰值波长为550 nm,灵敏度为10.4 V/lx.s~15.6 V/lx.s。
光电-线阵CCD驱动电路的FPGA时序设计
线阵CCD驱动电路的FPGA时序设计实验目标设计一线阵CCD驱动时钟,用一输入的clk,驱动CCD、AD、FIFO组成的整个CCD系统,并要求有一个复位端reset。
本实验主要是基于FPGA设计线阵CCD器件复杂驱动电路和整个CCD的电子系统控制逻辑时序的方法,并给出时序仿真波形,通过对线阵CCD驱动电路的时序设计,了解一个系统设计的基本方法。
总体方案元器件选择1、CCD:sonyILX5112、AD:Analog Devices --- AD92243、FIFO:Integrated Device Technology --- IDT7204方案:FPGA产生CCD线阵、AD、FIFO所需要的驱动时钟,从而实现ccd线阵信号的采集到信号调理,再经由AD进行模数转换后经FIFO 实现信号输出到读接口的过程。
我们需要用一个输入的clk,产生CCD、AD、FIFO所需要的clk,用以驱动它们。
CCD 需要两个时钟:rog和clk,AD和FIFO分别需要一个clk。
实验程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ccd isport(clk,reset:in std_logic;rog,ccd1,ad1,fifo1:out std_logic);end ccd;architecture ccd_1 of ccd issignal count:integer range 0 to 2130;signal rog2:std_logic;signal ccd2:std_logic;signal ad2:std_logic; signal fifo2:std_logic; beginrog<=rog2;ccd1<=ccd2 or clk; ad1<=ad2 or clk; fifo1<=fifo2 or clk; process(reset,clk) beginif reset='0' thenrog2<='1';ccd2<='1';ad2<='1';count<=0;elsif clk'event and clk='1' then count<=count+1;case count iswhen 0 to 6=>rog2<='1';ccd2<='1';ad2<='1';fifo2<='1';when 7 to 16=>rog2<='0';ccd2<='1';fifo2<='1'; when 17 to 22=> rog2<='1';ccd2<='1';ad2<='1';fifo2<='1'; when 23 to 55=> rog2<='1';ccd2<='0';ad2<='1';fifo2<='1'; when 56 to 58=>ccd2<='0';ad2<='0';fifo2<='1';when 59 to 2106=> rog2<='1';ccd2<='0';ad2<='0';fifo2<='0';when 2107 to 2109=> rog2<='1';ccd2<='0';ad2<='0';when 2110 to 2130=> rog2<='1';ccd2<='0';ad2<='1';fifo2<='1';end case;if count>=2130 then count<=0;end if;end if;end process;end ccd_1;仿真结果:全图:实验报告;通过本次实验加深了对CCD的了解,掌握了VHDL的使用方法,加深了解时序电路的设计法。
线阵CCD相机电路设计
10 1
2 62 0 5
1/2 13
2
2H O 0
0
5 一 一 >51一 一 > 5 一 一 >51 2 2 5 一 一 >51一 一 > 5 一 一 >51 2 2
1 6
10 6 2 0 0
3H O0 35 7
1 2 / 5 8 /
2 2
2 H O0 2O H 0
1H O0
10 5
( i aawdh 4bs t i ) td t
13 /
1 2 /
N vl cce
1
2
2
2
1H O0
1 H O0
1
1
2 5
3 一 >3 8 7 5 一 一 >5 一 一 > 5 一 一 >5 2 2 1 2 5 一 一 >5 一 一 > 5 一 一 >5 1 2 1 2
0 0
7 5 9 一 >9 一 > 9 一 >93 4 4 4
1 4
3 0 2
4 0 0
6 H O0
70 5
12 /
58 /
1
1
2 H O0
2 H O0
0
0
10 5
18一>17 8 8
1
2
4 0 8
9H O0
3 4 /
1
2 H O0
0
25 2
1
R M 的输 出对应一个 6 A 4选 1的 Mu , 的输出还要 经过 x他 个 2选 1的 Mu , x 然后选通 到 回溯单元 , 六个 当前状态 寄存 器的输出也 经入 回溯单元 , 回溯单 元通 过一 个简 单 的移位操 作, 回溯 出下一个状态。回溯 的输 出和最小路径度量对应 的状 态经过一个 2选 1 Mu 的 x写入 到当前状态寄存器中 , 最后是译 码输出 , 当前状态寄 存器 的最 低位 共 6 i通 过一个 6选 1的 b t Mu 写入到 3 b 移位寄存器 中, 3 x 2i t 每 2时钟周期一次输出 3 bt 2i 的 回溯 信 息 结果 。
CCD驱动电路设计的新方法
第18卷第4期半 导 体 光 电Vol.18No.4 1997年8月Semico nductor Optoelectro nics Aug.1997CCD驱动电路设计的新方法刘光昌 陈 欣 黄 亮(暨南大学,广州510632)摘 要: 本文详述了以往设计CCD驱动电路的三种方案,分析了各自的优缺点,并提出了一种新的设计方法。
采用这种设计方法,电路简单,易实现。
给出了用这种方法对T CD1200D驱动电路的具体设计实例。
关键词: 电荷耦合器件 驱动电路 单片机 可编程门阵列中图法分类号: TN786;TP368.1A new method for design of CCD driving circuitLIU Guangchang CHEN Xin HU AN G Liang(Jinan University,Guangzhou510632,CHN)Abstract:Three schem es for previous design of CCD driv ing circuit are discussed in detail,follow ed by analysis of their advantages and disadvantages.A new desig n m ethod w ith simple and easy implementation is proposed.A practical design of T CD1200Ddriving circuit using this method is given as an ex ample.Keywords:CCD,Driving Circuit,Monolithic M icrocomputer,GAL1 引言CCD由于其高精度、高分辨率、性能稳定、功耗低、寿命长以及具有自扫描功能等特点,已广泛用于摄像机、复印机、图文传真、文字、图像识别、自动精密测量等方面。
基于CPLD的线阵CCD动态驱动电路设计与应用
的空驱 动数 目, 而动态控 制光积分 时间 。采 用该 驱动 电路 , 从 系统 可在 高速 工作 主频 下 , 获得 较 高 的 系统 采样 精 度, 并且解决 了 C D输 出信 号受环境影响而产 生的饱 和失真和 背景 与物体 无法分 开的 问题 。 目前 , 驱动 电路 已 C 此
应 用于钢厂带钢纵切机组 自动 对 中系统 中, 效果 良好 。
acrc.Moevr tepo l fstrt nds ro n h i cl fsprt g ojc f m bcgo n r e cuay roe, h rbe o auai iot nad ted ut o eaa n bet r ak u d aer— m o t i i f y i o r
Ab ta t A n w a f y a c l e ra r y C D rvn i u t e in b s d o P D wa r s n e .I e d sg s r c : e w y o n mi i a ra C d ig cr i d s a e n C L sp e e td n t e i n,s s d n i c g h y—
维普资讯
第2卷 第 3 1 期
20 0 6年 9月 天津技大学学报 J u n l f ini nv ri f ce c o r a a j U ies y in e& T c n lg oT n to S eh oo y
线阵CCD驱动电路设计的几种方法
3 3
荡器作为时钟 ,频率为 3MHz. 经 3 分频电路输出再和时钟输 出相与非即得RS脉冲 ,频率为 1MHz.
Lattice 和 Atmel公司均有 PLD产品 ,虽然不同公司的产品在结 构上差异较大 ,但都能实现可重复编程开发的功能 。
图 3 单片机与 CCD的连接
图 2 数字电路设计时序发生器逻辑电路图 (2) 3 分频输出端再接 JK 触发器组成的 2 分频电路 。其输 出即得到Φ1 ,Φ2脉冲 。 (3) 由 3 个 10 分频 (十进制计数器) 和 1 个 2 分频电路进行 脉冲延时 ,然后去控制 JK 触发器就得到了所需的 SH脉冲的周 期 。在 SH 脉冲低电平期间 ,两列移位寄存器需输出 2220/2 个脉冲 。所以 SH 脉冲周期必须大于 (或等于) 1110 个 Φ1 (亦 Φ2) 脉冲周期 。这里用 3 个 10 分频和 1 个 2 分频电路可得 SH 的周期大于 2000 个Φ1 周期 。若设 CCD采光时间即 SH 周期 为 1400 个Φ1 周期 ,当计数器计满 1400 脉冲时用与非门引出 信号使 JK 触发器和计数器置 0 。JK 触发器翻转即输出了SH脉 冲的前沿 。随后 ,由 3 分频的Q1端输出触发 JK 触发器再次翻 转 ,JK 触发器翻转回即到SH脉冲的后沿 。SH后沿比Φ1提前跳 变 ,满足波形要求 。 电平转换电路一般采用 MOS驱动器 (如 DS0026,74HC04 等) 把SH ,Φ1 ,Φ2 ,RS反相即得所需的 SH,Φ1 ,Φ2 ,RS 脉冲 。
2. 3 单片驱动方法
由于大多数 CCD应用系统都含有单片机 ,这使有关 CCD 应用系统开发者十分自然地考虑用单片机的并行锁存输出口 输出所需的驱动脉冲信号 ,实现对 CCD的控制 。单片机是靠 指令产生 I/O 口的输出逻辑状态来产生驱动时序 ,由于线阵 CCD的典型复位脉冲是 1MHz, 对单片机的速度有一个最低要 求 ,所以要实现这种驱动方法必须使用指令周期小于 1 μs 的 单片机 ,如 AVR单片机 。
基于CPLD的线阵CCD驱动电路设计方法的研究与实现
.
Iske e t e , prn i e a sg de ft e t i g s q n e t y faur s i cpl nd dei i a o i n e ue c n s h m
0 引言
C D( h reC u l e ie) C C ag o pe D vcs,电荷 耦合器件 ,是 d 七十年代初 发展起来 的新型半导体 器件 ,它是 美国 贝尔 实 验 室 的 w..o l G..mi SB ye和 ES t h于 1 7 首 先 提 出 9 0年 的 …。后 来很快 被 Ama o等 人的 实验 所证 实 。在 经历 l l 了几年 的研 究阶段之 后 ,建立 了以一维势 阱模 型为基础 的非稳态 C D基本理 论。3 C 0年来 ,C CD器件理论及其 应用技术 等的研究取 得了极大 的发展 ,尤其在 图像传感
i egn en e sT id v rs ee pdo e as f P D,adr hitrc g a e rvddfr srw t n n er g l . hs r e vl e nt s L i i fd i i id o h b io C n c e ae i la o i es i i n f s srp n e ou h
摘 要 :以典型 的线阵 C DI C  ̄像传 感器件 T D3D C 1 为例 ,设计和开发 了一种 线阵C D  ̄ 2 C  ̄ 动电路。电路主要采用 了复杂 可编程逻辑器件 (P D ,充分发挥其 “ CL) 可编程 ”的技术 特性 ,为用户提供 了丰富的接 口信号 。介 绍了
该驱 动电路 的主 要特性 、工 作原理和驱 动时序 的设 计思想 ,阐述 了逻辑 设计原理 ,给出 了cP D实现 电路和 L
一种驱动线阵CCD的新方法
一种驱动线阵CCD的新方法
郭亚青;刘原
【期刊名称】《电子元器件应用》
【年(卷),期】2006(8)9
【摘要】线阵CCD的驱动电路是其正常工作的前提。
文中利用TMS320LF2407 DSP的脉宽调制模块(PWM)来直接驱动线阵CCD传感器TCD1501C,从而解决了CCD传感器的驱动脉冲数与DSP定时器数目之间的矛盾,简化驱动电路的设计。
【总页数】2页(P102-103)
【作者】郭亚青;刘原
【作者单位】西安工程科技学院电子信息学院
【正文语种】中文
【中图分类】TP212
【相关文献】
1.一种积分时间可调型线阵CCD的改进驱动方案 [J], 付天舒;刘强;王立刚
2.一种光积分时间可调的线阵CCD驱动设计 [J], 刘月林;诸葛晶昌
3.一种线阵CCD时序仿真新方法 [J], 杨博雄;傅辉清;陈志高;欧同庚;刘海波;郑勇
4.线阵CCD驱动器设计新方法 [J], 兰荣清
5.一种用线阵CCD测量物体表面三维轮廓的新方法 [J], 周鸿;赵宏
因版权原因,仅展示原文概要,查看原文内容请购买。
基于CPLD技术的新型线阵CCDTCD1501的驱动时序设计与实现
1 线 阵 CC 驱 动 电路 的 工作 原 理 D
1 1 T D1O . C 5 1驱动 电路 的主 要特 性
没有 “ 同步 ” 能 , 外 功 它们 虽 可 以各 自独 立 工 作 , 但
当现 场用 到多个 驱 动 电路 时 , 法保 证 它们 同时 对 无 视场 内多个 或某个 特定 的 目标 进行 采样并 同时输 出
设置 。其 中 , 通过 改变 驱 动 电路 板上 拨 动 开 关 的相 关位 的状态 , 用户 可设定驱 动频率 , 电路共 设置 了 本 4档驱动频 率 ; 同样 , 过 拨 动 开关 的相 关 位 , 户 通 用 可设 定 内、 同步 。在本 电路 中 , 外 当该位 置于 “ F ” O F
文章编 号 :0 9— 8 1 2 0 ) 3— 0 3— 5 10 4 8 ( 0 7 0 0 6 0
基于 C L P D技 术 的 新 型 线 阵 C D T D 5 1 C C 10 的驱 动 时 序 设 计 与 实现
李 强
( 武汉工业学 院 数理科学 系,湖北 武汉 4 0 2 ) 30 3
维普资讯
第2 6卷第 3期
20 0 7年 9月
武
汉
工
业
学
院
学
报
V0 . I26No 3 .
J u a o Wu a P lt c n c Un v ri or l f n hn oye h 图 1 示 。 C 所
收稿 日期 :  ̄7O —7 2 . 1 4 作者简介 : 李强 (9 0) 男 , 18 一 , 河南省驻 马店市人 , 助教。
有 效 的视频信 号 。
驱动电路 在设计时充分考虑 了如上 的实际 需 求 , 有 如 下 的 主 要 特 性 :( ) 动 频 率 可 调 ; 具 1驱 () 2 积分 时 间可 调 ;( ) 设 定 内 、 同步 ;( ) 3可 外 4 对
一种基于单片机的新型线阵CCD电路2
相约单片机CCD(Charge Couple Device),即电荷耦合器件,是一种新型的一维图像信息的转换和探测器件,它通过光电转换可以将位置、角度、尺寸等信息转化成电荷信号,交给微处理器存储、处理,从而完成测量工作。
由于CCD像元尺寸微小(7 ̄4μm),利用光信号测量,所以CCD器件具有体积小、功耗小、高分辨率、非接触测量等优点,在许多特殊应用场合有着优越性,如高温物体尺寸测量、微小尺寸测量、高频振动测量等。
几种传统CCD驱动时序的产生方法不同生产厂家、不同型号的CCD的驱动时序是不同的,加之在不同应用场合、对体积、成本、性能的要求不同,也就有了以下比较常用的驱动时序的产生方法。
一种基于单片机的新 型 线 阵CCD 电 路・合肥工业大学机电研究室 蒋怀伟 尹志强・・中国科学技术大学信息技术学院 关胜晓・了8个数,否则无效。
这两者都是为准确地操作提供保障,后面的节目存入也有类似的情况。
再将显示缓冲区51H~58H的数两两合一,即51H、53H、55H、57H的数字移到高四位,再与52H、54H、56H、58 H的低四位对应合并,依次存入计时单元5AH~5DH。
这种由显示缓冲区→ 计时区的处理过程及逆处理过程在单片机中是经常可以见到的。
详细过程见源程序清单中的ZSJ。
(2)时间显示键 按下则会显示当时前时间,先显示月、日,再按一下该键显示时、分。
按一下轮换一次。
显示管只能显示在显示缓冲区的内容,显示时间时,必须将计时区5AH~5DH时间一分为二,置入显示缓冲区51H~58H对应的单元中,也即前面所述的时间存入的逆处理过程。
详细过程见源程序清单中的YRJ。
这种处理及逆处理过程在后面的节目存入键和节目检图14图13直接数字电路驱动方法 这种方法是用数字门电路及时序电路直接搭成产生CCD驱动时序的硬件电路。
该电路通常由振荡电路、逻辑门电路、计数器电路、单稳态电路等构成。
这种方法可以产生高速的驱动脉冲、稳定性好,但是逻辑设计较为复杂、调试困难、柔性较差。
基于VHDL的线阵CCD驱动电路的设计
基于VH DL 的线阵CCD 驱动电路的设计穆 磊,姜德生,戴 珩(武汉理工大学光纤传感技术中心 湖北武汉 430070)摘 要:CCD 作为一种新型的光电器件,被广泛地应用于非接触测量,而在CCD 工作过程中,其驱动电路的设计成为其应用的关键技术之一。
介绍了一种利用V HDL (硬件描述语言)编写线阵CCD 驱动程序的实现方法,对TCD1501D 型号的CCD 工作时序做了分析。
详细介绍用V HDL 完成TCD1501D 驱动时序的源代码,最后用Max +Plus Ⅱ开发软件进行仿真验证,测量和仿真结果表明,该方法结构简单、系统简化,具有可行性。
关键词:线阵CCD ;V HDL ;驱动电路;Max +Plus Ⅱ;时序仿真中图分类号:TN21 文献标识码:B 文章编号:10042373X (2007)222138202Design of Driver Circuit for Linear CCD B ased on VH DLMU Lei ,J IAN G Desheng ,DA I Heng(Fiber Optic Technology Research Center ,Wuhan University of Technology ,Wuhan ,430070,China )Abstract :CCD is widely used in non 2contacted measuring as a new photoelectric device.During CCD working ,the design of driver circuit is one of the key technology in its application.A realization method in design of drive program for linear CCD which uses V HDL (a kind of hardware description language )is introduced and working signal of TCD1501D is analyzed.The source code of TCD1501D ′s working signal is discussed.Finally ,simulated verification is made using the development software of Max +Plus Ⅱ.The simulation and the result of measurement demonstrate that this method is simple ,simplified and feasible.K eywords :linear CCD ;V HDL ;driver circuit ;Max +Plus Ⅱ;timing simulation收稿日期:2007204217 电荷耦合器件(Charge Coupled Devices ,CCD )的突出特点是以电荷作为信号,而不同于其他大多数器件是以电流或者电压为信号。
基于CPLD的线阵CCD驱动电路的设计
M a h ne y De in c i r sg & Ma ua tr n fcu e
第 6期 2 1 年 6月 0 1
基 于 C L 的线 阵 C D驱 动 电路 的设 计 PD C 术
( e a oaoyo o d s u t e et g Mii r f d ct n N n h n a g o gU ies y yL b rtr f n et ci s n , ns yo u a o , a c a gH n k n nvri , K N r vT i t E i t
李 宁宁 1 李 志农 刘治华 陈金 刚 , 2 (郑 州大学 机械 工 程学院 , 州 4 00 )( 昌航 空大学 无损检 测技术教 育部 重点 实验室 , 昌 3 06 ) ’ 郑 50 1 南 南 30 3
Th e i fa Ln a e d sgn o ie rCCD d ii g c r ut a e r n i ib s d on CPL v c D
N n h n ,3 0 3 C ia a c a g3 0 6 , hn )
【 摘 要】 针对传统 C D驱动电路存在的不足 , C 尤其是 当驱动电路工作在较 高频率时易产生严重 干扰 , 工作 不稳 定 , 系统 我提 出 了一种 线 阵 C D驱动 电路 的设计 方案 , 方案运 用 C L C 该 P D技 术来设 计产
L n — ig, L h— o e, I h- u CHEN Jn g n INig nn 1 IZ in n 2L U Z ih a . 2 i— a g
( c ol f c a ia E gn eig Z e gh uU ies yZ e gh u4 0 0 , hn ) h o o h ncl n ier ,h n z o nvri ,h n zo 5 0 C ia S Me n t 1
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
一种新型线阵CCD 驱动电路的设计方法[作者:丁忠林 刘尧猛 张建民 转贴自:微计算机信息 点击数:328 更新时间:2008-10-20【字体: A 】 design and application of dynamic CCD Driving Circuit Abstract: in this paper a new way to design linear array CCD driving circuit is presented 。
in the desi gn ,PLD is used to control the CCD optical-integral-time dynamically without changing the primary fre quency of the system .so the CCD optical-integral-time can be changed with the intensity of the backgr ound lamp-house so as to improve the system precision and avoid the saturation distortion problem of t he CCD output signal.This method has been applied in the stripple steels vertical cutting centering-system and been proved to be highly effective.Key word :linear array CCD;dynamic control; CCD optical-integral-time;driving signals;摘要:本文提出了一种新型线阵CCD 驱动电路的设计方法。
采用该方法,不改变系统工作主频就可以动态控制CCD 光积分时间随着光源强度变化而变化,从而提高了系统精度,解决了CCD 输出信号因环境影响引起的饱和失真和背景与物体无法分开的问题。
此电路用可编程逻辑器件EPM7032C44实现,已将该方法应用于带钢纵切机组自动对中系统中,获得良好的效果。
关键词:线阵CCD ;动态控制;光积分时间;驱动信号1 前言线阵CCD 具有体积小、分辨率高、稳定性好、抗干扰性强、测量误差小等特点[1],被广泛应用于非接触工件尺寸的测量中。
线阵CCD 应用的关键是驱动信号的产生及输出信号的处理[2]。
驱动信号由驱动电路产生,因而在线阵CCD 应用中需要着重考虑驱动电路设计。
目前常用的有以下三种CCD 驱动电路设计方法:(1) 直接数字电路驱动法该方法电路的实现复杂,调试困难,使用不便[2]。
(2)单片机驱动法该方法单片机输出的驱动信号频率低,速度慢,不适合应用在高速数据采集中[3]。
(3)记数分频法该方法是通过改变系统主频或者计数器的初始预置值实现积分时间的改变,灵活性差。
系统主频的改变将影响模拟通道的处理,而计数初值的改变会影响驱动波形[3]。
以上三种方法均属于静态驱动方法,CCD光积分时间不受背景光强的控制。
而在实际应用中,CCD是工作在复杂恶劣的环境中,CCD的输出信号与光的强度和CCD单元的光积分时间成正比。
在CCD光积分时间一定的情况下,光的强度过高时,CCD输出信号会出现饱和失真;光的强度过低时,输出信号过低,使得景物与背景模糊,无法分开。
本文提出了一种采用动态控制CCD光积分时间的新型线阵CCD驱动电路设计方法,即背景光强时使CCD光积分时间短;背景光弱时,使其积分时间长些,从而使CCD输出信号在正常工作范围内。
此外,在基于DSP带钢纵切机组自动对中系统中,采用本方法,利用可编程逻辑器件通过控制CCD的光转移脉冲周期改变光积分时间,从而在系统主频不变的情况下,使CCD驱动信号和输出信号在恶劣的环境中正常工作。
2 CCD的结构、工作原理及驱动波形2.1 CCD的结构电荷耦合器件(Charge Couple Device,简称CCD)是一种新型光电传感器件。
它的基本单元是MOS结构,它能存储由光产生的电荷信号,当对它施加特定时序脉冲时,其存储电荷能在CCD内作定向移动而实现自扫描,输出电压信号的大小与CCD单元存储的电荷多少成正比[4],CCD单元存储电荷多少与光的强度和CCD单元光积分时间成正比。
TCD1206UD型线阵CCD结构框如图1所示。
它由2236个pn结光电二极管构成光敏元阵列,其中前64个和后12个是用作暗电流检测而被遮蔽的,用符号Dn表示;中间的2 160个光电二极管是曝光像敏单元,每个光敏单元的尺寸为14μm长、14μm高、中心距为14μm,用Sn 表示。
光敏元的两侧是存储其电荷的MOS电容列——存储栅。
MOS电容列两侧是转移栅电极SH。
转移栅的两侧为CCD模拟移位寄存器,其输出部分由信号输出单元和补偿输出单元构成[4]。
2.2 CCD工作原理及驱动信号波形CCD只有在一定的驱动脉冲作用下才能正常工作。
下面以TCD1206UD为例说明CCD的工作原理,其驱动脉冲波形如图2所示。
ФSH是转移脉冲,其作用是将CCD单元存储电荷转移到模拟移位寄存器中。
φ1、φ2脉冲的作用是使模拟移位寄存器的电荷信号移动,通过OS输出。
ΦR是复位脉冲,复位一次OS输出一个信号。
当光照在TCD1206UD上,第一个ФSH脉冲由高变低时,CCD单元存储栅势阱进入光积分状态并产生存储电荷。
当第二个ФSH脉冲由低变高时,将CCD单元存储点荷转移到模拟移位寄存器中。
CCD的光积分时间是两个ФSH脉冲间隔,存储电荷转移时间是ФSH的脉宽时间。
模拟移位寄存器在Φ1与Φ2脉冲的作用下将上次转移到电极下势阱中的电荷信号向左转移,并经过输出电路由OS电极输出。
按照其结构的安排,OS端首先输出13个虚设单元信号,再输出51个暗电流信号,然后连续输出S1到S 2160有效像素单元信号。
第S2160信号输出后,又输出9个暗信号,再输出2个奇偶检测信号,以后便时空驱动。
空驱动数目可以是人意的,可利用它来延长光积分时间。
由于该器件是两列并行,分奇、偶传输的,所以在一个ФSH周期中至少要有1118个φ1脉冲,即TSH>1118T0。
如果TSH小于1118T0,那么上次数据没有读完就开始下一次读数,使输出信号产生失真。
如果积分时间长,CCD的输出电压幅度就高,会产生输出饱和失真[4]。
为了提高系统的检测灵敏度,使CCD的积分时间增长但又不使输出信号失真,有两种方法:(1)利用空驱动数量来增加TSH内的时钟脉冲数[5]。
(2)降低输出速率,但这样会影响信号处理电路的参数、频带、信噪比[6]。
所以选择第一种方法来增加光积分时间比较好,这样可以动态调节光积分时间。
因此,本文采用了在系统时钟频率不变的情况下,光积分时间根据CCD工作环境的背景光源强度的变化通过改变空驱动脉冲数量达到动态控制CCD的目的。
3 动态控制CCD光积分时间电路的设计由于CCD的镜头会受到灰尘和背景光的强度影响,会使CCD输出信号失真,增大检测误差,为了解决此问题采用动态控制CCD光积分时间的新型线阵CCD驱动电路,即背景光强时,使其积分时间短;背景光弱时,使其积分时间长,从而使输出信号在正常工作范围内。
其逻辑电路如图3所示。
该电路设计了CC D正常工作脉冲ФSH、φ1、φ2 、φR ,并可动态地调整光积分时间。
为了保证各个脉冲同步工作,采用统一主频时钟T0。
φ1、φ2是由主频时钟二分频和一个非门得到。
φR是通过主频时钟经逻辑电路产生。
而转移脉冲φSH则通过计数器产生,其工作原理是当基本计数器计到2236后,产生计数满信号,使光积分延时预置计数器计数,预置初值确定空驱动数目,其取值范围为1~4095。
当光积分延时预置计数器计满时,清除基本计数器进行下一次计数,并产生转移脉冲φSH。
光积分延时预置计数器计数预置初值是由微处理器根据采集电荷信号来检测光的强弱通过接口电路自动设置。
该电路可以通过微处理器动态控制CCD光积分时间,以提高检测精度。
此电路能够达到的最小光积分时间为2236T0,最大光积分时间为2236T0 +4096T0。
动态控制CCD光积分时间电路用可编程逻辑器件EPM 7032C44实现的,以下是用Verilog DHL设计此驱动电路的程序module Drive(φSH, φ1, φ2, φR,Data,T0);input T0;input[11:0] Data;outputφSH, φ1, φ2, φR;reg φ1, φ2, φR;regφSH,Clr,Start_signal,Signal_del;reg[11:0] Data_reg,Base_count;reg[8:0] Sh_count;parameter n= 2236 ;always@(posedge T0)beginφ1=~φ1;φ2=~φ1;endalways@(T0) φR =T0;always@(posedge T0)beginif(Base_count==n)beginsignal_del=1;Base_count= Base_count +1;Start_signal =1;endelseif(Base_count >n+2) Base_count =n+3;elsebeginsignal_del=0;Base_count = Base_count +1;endif(Clr)beginBase_count =0;Start_signal =0;endendalways@(posedge T0)beginif(signal_del)Data_reg = Data;if(Start_signal)beginif(Data_reg ==4095)beginClr =1;endelse Data_reg = Data_reg +1;endif(Clr)beginif(Sh_count ==500)beginSh_count =0;Clr =0;endelse Sh_count = Sh_count +1;endendalways@( Clr)beginif(Clr)φSH=1;elseφSH=0;endendmodule4 动态CCD驱动电路的应用线阵CCD常常工作在恶劣的环境中,背景光源的变化会产生CCD的检测误差加大,降低了系统的检测精度,系统采用动态控制CCD光积分时间驱动电路可解决此问题,提高系统的精度。
动态CCD驱动电路应用系统框图如图-4所示,系统的工作原理:线阵CCD采集的信号通过抗干扰电路和A/D转换、存储并送给微处理器,微处理器对这些数据进行处理,确定光积分延时计数预值并传输给动态CCD驱动电路,动态CCD驱动电路产生CCD驱动信号来驱动CCD工作。