练习使用电压表实验报告单

合集下载

《学生实验:练习使用多用电表》 学历案

《学生实验:练习使用多用电表》 学历案

《学生实验:练习使用多用电表》学历案一、学习目标1、了解多用电表的基本结构和功能,认识表盘上的刻度和量程。

2、掌握多用电表测量电压、电流和电阻的方法和操作步骤。

3、学会正确读取多用电表的测量数据,并能进行简单的数据处理和误差分析。

4、培养学生的实验操作能力、观察能力和分析问题的能力。

二、学习重难点1、重点(1)多用电表的结构和功能。

(2)多用电表测量电压、电流和电阻的方法和操作步骤。

2、难点(1)多用电表测量电阻时的欧姆调零和换挡操作。

(2)多用电表测量数据的读取和误差分析。

三、学习过程(一)知识回顾1、回顾初中所学的电流表、电压表的使用方法和注意事项。

2、复习电阻的定义式和欧姆定律。

(二)多用电表的结构和功能1、观察多用电表的外形,了解其表盘、选择开关、表笔插孔等结构。

2、认识表盘上的刻度,包括电压刻度、电流刻度和电阻刻度。

3、了解选择开关的作用,知道如何选择不同的测量量程。

(三)多用电表测量电压1、将选择开关拨到电压挡位,根据待测电压的大小选择合适的量程。

2、将红表笔插入“+”插孔,黑表笔插入“”插孔。

3、并接在待测电路两端,注意表笔的极性,读取电压示数。

(四)多用电表测量电流1、将选择开关拨到电流挡位,根据待测电流的大小选择合适的量程。

2、将红表笔插入电流流入的插孔,黑表笔插入电流流出的插孔。

3、串联在待测电路中,读取电流示数。

(五)多用电表测量电阻1、机械调零:使用前,先检查表针是否指在左端零刻度处,若未指零,需用螺丝刀调节表盘下方的机械调零旋钮,使指针指零。

2、选挡:估计待测电阻的阻值大小,选择合适的倍率挡位。

3、欧姆调零:将红、黑表笔短接,调节欧姆调零旋钮,使指针指在右端零刻度处。

4、测量:将表笔分别与待测电阻的两端接触,读取电阻示数。

5、换挡:若测量过程中需要更换倍率挡位,必须重新进行欧姆调零。

(六)数据读取和处理1、电压和电流的读数:根据所选量程,按照表盘上对应的刻度进行读数。

2、电阻的读数:用指针所指的刻度值乘以所选倍率。

实验报告基本电工仪表的使用

实验报告基本电工仪表的使用

实验报告基本电工仪表的使用篇一:实验一基本电工仪表的使用及测量误差的计算实验一基本电工仪表的使用及测量误差的计算一、实验目的1. 熟悉实验台上各类电源及各类测量仪表的布局和使用方法。

2. 掌握指针式电压表、电流表内阻的测量方法。

3. 熟悉电工仪表测量误差的计算方法。

二、原理说明1. 为了准确地测量电路中实际的电压和电流,必须保证仪表接入电路后不会改变被测电路的工作状态。

这就要求电压表的内阻为无穷大;电流表的内阻为零。

而实际使用的指针式电工仪表都不能满足上述要求。

因此,当测量仪表一旦接入电路,就会改变电路原有的工作状态,这就导致仪表的读数值与电路原有的实际值之间出现误差。

误差的大小与仪表本身内阻的大小密切相关。

只要测出仪表的内阻,即可计算出由其产生的测量误差。

以下介绍几种测量指针式仪表内阻的方法。

2. 用“分流法”测量电流表的内阻如图1-1所示。

A为被测内阻(RA)的直流电流表。

测量时先断开开关S,调节电流源的输出电流I 使A表指针满偏转。

然后合上开关S,并保持I值不变,调节电阻箱RB的阻值,使电流表的指针指在1/2满偏转位置,此时有IA=IS=I/2∴ RA=RB∥R1可调电流源R1为固定电阻器之值,RB可由电阻箱的刻度盘上读得。

图 1-1 3. 用分压法测量电压表的内阻。

如图1-2所示。

V为被测内阻(RV)的电压表。

测量时先将开关S闭合,调节直流稳压电源的输出电压,使电压表V的指针为满偏转。

然后断开开关S,调节RB使电压表V的指示值减半。

此时有:RV=RB+R1电压表的灵敏度为:S=RV/U (Ω/V) 。

式中U为电压表满偏时的电压值。

4. 仪表内阻引起的测量误差(通常称之为方可调稳压源法误差,而仪表本身结构引起的误差称为仪表基图1-2 本误差)的计算。

(1)以图1-3所示电路为例,R1上的电压为R1 1 UR1=─── U,若R1=R2,则 UR1=─ U 。

R1+R2 2 现用一内阻为RV的电压表来测量UR1值,当RVR1RV与R1并联后,RAB=───,以此来替代RV+R1RVR1────RV+R1上式中的R1,则得U'R1=────── U 图 1-3RVR1 ───+R2 RV+R1RVR1────RV+R1 R1 绝对误差为△U=U'R1-UR1=U(─────—-────)RVR1 R1+R2 ───+R2 RV+R1 -R2 1R2U化简后得△U=───────────────── 2 2RV(R1+2R1R2+R2)+R1R2(R1+R2)U若 R1=R2=RV,则得△U =-─6vU'R1-UR1-U/6相对误差△U%=─────×100%=──×100%=-33.3% UR1 U/2由此可见,当电压表的内阻与被则电路的电阻相近时,测量的误差是非常大的。

实验报告:练习使用多用电表完整

实验报告:练习使用多用电表完整

实验报告:练习使用多用电表(可以直接使用,可编辑实用优秀文档,欢迎下载)实验报告:练习使用多用电表班级姓名学号时间等次 一、实验目的:1.会使用多用电表测量、电流和2.会使用多用电表测量二极管的,并据此判断二极管的。

3.会使用多用电表探索黑箱中的电学元件.二、实验原理:欧姆表:欧姆表由灵敏电流计表头、电池、变阻器改装而成,欧姆表内阻就是这三部分电阻的串联阻值,根据闭合电路欧姆定律:xg R R R r EI +++=)(0 电流与有一一对应关系,就可测出不同的电阻。

可画出其内部如图1所示:多用电表:电流表和电压表都是由灵敏电流计表头改装来的,所以欧姆表、电流表和电压表可以公用一个表头改装成一个多用电表。

三实验器材:多用电表、直流电源、开关、导线若干、小电珠、二极管、定值电阻.电学黑箱 四、实验步骤1.观察多用电表的外形,认识选择开关的测量项目及量程.2.检查电表的指针是否停在表盘刻度端的零位置,若不指零,则可用小螺丝刀进行机械调。

3.将、表笔分别插入“+”“-”插孔.4.如图甲所示连好电路,将多用电表选择开关置于直流挡,测小电珠两端的电压. 5.如图乙所示连好电路,将选择开关置于直流挡,测量通过小电珠的电流. 6.利用多用电表的欧姆挡测三个定值电阻的阻值,比较测量值和真实值的误差.7.研究二极管的单向导电性,利用多用电表的欧姆挡测二极管两个引线间的电阻,确定正负极. 8.探索黑箱内的电学元件.1.元件与现象 判断元件 应用挡位 现 象电源 电压挡 两接线柱正、反接时均无示数说明无电源电阻 欧姆挡 两接线柱间正、反接时示数相同 二极管 欧姆挡正接时示数很小,反接时示数很大图1五:实验过程:1、测量小灯泡两端电压:①按照甲电路图连接电池、开关、变阻器、小灯泡。

②将多用电表选择开关调至档,为了安全先选择最大量程,试测以后再选择合适的量程。

③通过两个表笔将多用电表与小灯泡联在一起,读出小灯泡两端电压为伏。

实验报告:练习使用多用电表

实验报告:练习使用多用电表

实验报告:练习使⽤多⽤电表实验报告:练习使⽤多⽤电表⾼⼆()班姓名:座号:【实验⽬的】1、了解多⽤电表的构造和原理,掌握多⽤电表的使⽤⽅法.2、使⽤多⽤电表测电压、电流及电阻.3、会使⽤多⽤电表探索⿊箱中的电学元件.【实验原理】⼀、欧姆表原理(多⽤电表测电阻原理)1、构造:如图所⽰,欧姆表由电流表G 、电池、调零电阻R 和红、⿊表笔组成.欧姆表内部:电流表、电池、调零电阻串联.外部:接被测电阻R x .全电路电阻R 总=R g +R +r +R x .2、⼯作原理:闭合电路欧姆定律I =E R g +R +r +R x .3、刻度的标定:红、⿊表笔短接(被测电阻R x =0)时,调节调零电阻R ,使I =I g ,电流表的指针达到满偏,这⼀过程叫欧姆调零.(1)当I =I g 时,R x =0,在满偏电流I g 处标为“0”.(图甲)(2)当I =0时,R x →∞,在I =0处标为“∞”.(图⼄)(3)当I =I g 2时,R x =R g +R +r ,此电阻值等于欧姆表的内阻值,R x 叫中值电阻.三、多⽤电表1、多⽤电表可以⽤来测量电流、电压、电阻等,并且每⼀种测量都有⼏个量程.2、外形:上半部为表盘,表盘上有电流、电压、电阻等多种量程的刻度;下半部为选择开关,它的四周刻有各种测量项⽬和量程.3、多⽤电表⾯板上还有:欧姆表的调零旋钮(使电表指针指在右端零欧姆处)、指针定位螺丝(使电表指针指在左端的“0”位置)、表笔的正负插孔(红表笔插⼊“+”插孔,⿊表笔插⼊“-”插孔).四、⼆极管的单向导电性1、晶体⼆极管是由半导体材料制成的,它有两个极,即正极和负极,它的符号如图甲所⽰.2、晶体⼆极管具有单向导电性(符号上的箭头表⽰允许电流通过的⽅向).当给⼆极管加正向电压时,它的电阻很⼩,电路导通,如图⼄所⽰;当给⼆极管加反向电压时,它的电阻很⼤,电路截⽌,如图丙所⽰.3、将多⽤电表的选择开关拨到欧姆挡,红、⿊表笔接到⼆极管的两极上,当⿊表笔接“正”极,红表笔接“负”极时,电阻⽰数较⼩,反之电阻⽰数很⼤,由此可判断出⼆极管的正、负极.*注意事项:(1)因为表头是多⽤的,⽽电流必须从其正接线柱流⼊,表内⼜有电池,所以红表笔接的是表头的正接线柱,但却与电池负极相连,⽽⿊表笔与电池正极相连。

九年级物理上实验报告

九年级物理上实验报告

九年级物理实验报告册学校班级姓名目录一、连接串联电路和并联电路 2二、练习使用电流表4三、探究串、并联电路电流的特点 5四、练习使用电压表7五、探究串、并联电路电压的规律实验报告 8六、练习使用用滑动变阻器 10七、探究电流与电阻、电压的关系 11八、伏安法测电阻 13九、测量小灯泡的额定功率 14一、连接串联电路实验报告年级班实验人:组次:试验时间:一、实验目的:会连接简单的串联电路。

二、实验仪器和器材(要求标明各仪器的规格型号)两只小灯泡,一个开关,两节干电池(或学生电源),导线若干条。

三、实验原理:简明扼要地阐述实验的理论依据、计算公式、画出电路图或光路图四、实验步骤或内容:要求步骤或内容简单明了1.检查器材,观察开关是否断开。

C3.改变开关位置,观察并记录开关在电路中的作用。

4.整理器材。

5.分析填写实验结论。

五、实验记录与结论1.记录数据2.串联电路里,开关的控制效果与开关位置。

(选填:有关或无关)二、连接并联电路一、实验目的:会连接简单的并联电路。

二、实验仪器和器材(要求标明各仪器的规格型号)2只小灯泡,3个开关,2节干电池(或学生电源),导线若干。

三、实验原理:简明扼要地阐述实验的理论依据、计算公式、画出电路图或光路图四、实验步骤或内容:要求步骤或内容简单明了1.检查器材。

2.根据电路图(如图所示),连接成并联电路。

3.断开和闭合开关S,观察它控制电路的情况。

并记录实验现象。

4.闭合开关S后,只断开开关S1,观察它控制电路的情况,并记录实验现象。

5.闭合开关S后,只断开开关S2,观察它控制电路的情况,并记录实验现象。

6.断开开关S,拆除电路,并整理实验器材。

五、实验记录与结论1.记录数据。

并联连接状态支路灯泡L1的情况(亮或不亮)支路灯L2的情况(亮或不亮)断开开关S时只断开支路开关S1时只断开支路开关S2时2.在连通的并联电路里,干路开关S所控制的用电器是(①L2、、②L1、③全部用电器)。

用电压表测量电压

用电压表测量电压

用电压表测量电压Newly compiled on November 23, 2020用电压表测量电压一、实验目的:1、使用电压表测量电池和电池组两端电压。

2、使用电压表测量电路中发光小灯泡两端的电压。

二、实验器材 :实验用直流电压表(J0408型),干电池3节,小灯座(J2351型),小灯泡L 1(,0.3A ),小灯泡L 2(,0.2A ),单刀开关(J2352型),导线若干。

三、实验步骤:1.观察电压表的量程和最小分度,并记录在实验记录表中。

2.检查并调节指针对准零刻度。

3.用电压表测电池和电池组的电压① 按如图1所示连接电路。

② 闭合开关S ,测出电源两端的电压U 0,并记录在记录表中。

4U ,并记录在记录表中。

③ 按如图3所示连接电路。

④ 闭合开关S ,分别测出电路中小灯泡L 1两端的电压U 1和小灯泡L 2两端的电压U 2,并记录在记录表中。

5.整理实验器材。

四、实验数据记录1、认识电压表(1)观察实验室使用的电压表的量程有 个,量程分别是 和 (2)观察电流表的刻度值:对0~3V 量程来说,每大格表示 每小格表示 ;对0~15V 量程来说,每大格表示 每小格表示 。

2、测量数据记录表 【说明】 1.J0408型直流伏特计外形见图3.6-1,这种伏特计的表头是磁电式。

刻度盘有上下两种刻度,分别是“-5-0-15”和“-1-0-3”,接线柱分别标有“-”、“3”和“15”。

调零器在刻度盘下方。

这种伏特计的刻度盘上“0”点不在最左端,而在“0”点左侧还有一些刻度,可以防止因正负接反而撞坏指针。

2. 有些学校还有另一种型号的伏特计(图3.6-2),这种伏特计的量程分别为“0-15”和“0-3”,接线柱“+”作为公共端。

3. 还有的学校用的是交、直流两用伏特计(图3.6-3),这种伏特计刻度盘有上下两种刻度,用直流时量程分别是“0-3”和“0-15”,刻度每小格分别表示0.1伏和0.5伏。

九年级全册物理实验报告单

九年级全册物理实验报告单

九年级全册物理实验报告单物理实验报告____级__班__号姓名_________实验日期____年__月__日实验名称探究串联电路中电流的特点实验目的练习使用电流表,探究串联电路中不同位置电流的关系实验器材电池组(2节干电池串联),电流表(量程:0.6A、3A)),2个小灯泡(额定电压不同),1个开关,若干条导线。

实验原理回答问题为什么串联电路中,电流的大小处处相等?。

讨论、分析实验中的问题评语教师签名物理实验报告____级__班__号姓名_________实验日期____年__月__日实验名称探究并联电路中电流的特点实验目的练习使用电流表,探究并联电路中干路电流和各支路电流的关系物理实验报告____级__班__号姓名_________实验日期____年__月__日实验名称用电压表测串联电路中的电压实验目的1.练习使用电压表2.研究串联电路中的电压关系。

实验器材电池组(2节干电池串联),电压表(量程:3V、15V),2个灯泡(额定电压不同),1个开关,若干条导线实验原理实验步骤1.检查器材。

2.将电池组、开关连接在电路上组成串连电路(注意开关的正确状态)。

3.用电压表测L1两端电压V1。

4.用电压表测L2两端电压V2。

5.用电压表测L1与L2两端的总电压V。

6.整理器材。

回答问题如果将电压表串联在电路中,会有什么现象?讨论、分析实验中的问题评语教师签名物理实验报告____级__班__号姓名_________实验日期____年__月__日实验名称用电压表测并联电路中的电压实验目的练习使用电压表,研究并联电路中各支路的电压物理实验报告____级__班__号姓名_________实验日期____年__月__日物理实验报告____级__班__号姓名_________实验日期____年__月__日实验名称用电压表和电流表测电阻实验目的正确设计并连接该实验电路实验器材电压表(量程3V、15V),电流表(量程:0.6A、3A),待测电阻(10-30Ω),开关,导线,电池组(2节干电池串联),滑动变阻器。

实验报告基本电工仪表的使用doc

实验报告基本电工仪表的使用doc

实验报告基本电工仪表的使用篇一:实验一基本电工仪表的使用及测量误差的计算实验一基本电工仪表的使用及测量误差的计算一、实验目的1. 熟悉实验台上各类电源及各类测量仪表的布局和使用方法。

2. 掌握指针式电压表、电流表内阻的测量方法。

3. 熟悉电工仪表测量误差的计算方法。

二、原理说明1. 为了准确地测量电路中实际的电压和电流,必须保证仪表接入电路后不会改变被测电路的工作状态。

这就要求电压表的内阻为无穷大;电流表的内阻为零。

而实际使用的指针式电工仪表都不能满足上述要求。

因此,当测量仪表一旦接入电路,就会改变电路原有的工作状态,这就导致仪表的读数值与电路原有的实际值之间出现误差。

误差的大小与仪表本身内阻的大小密切相关。

只要测出仪表的内阻,即可计算出由其产生的测量误差。

以下介绍几种测量指针式仪表内阻的方法。

2. 用“分流法”测量电流表的内阻如图1-1所示。

A为被测内阻(RA)的直流电流表。

测量时先断开开关S,调节电流源的输出电流I 使A表指针满偏转。

然后合上开关S,并保持I值不变,调节电阻箱RB的阻值,使电流表的指针指在1/2满偏转位置,此时有IA=IS=I/2∴ RA=RB∥R1可调电流源R1为固定电阻器之值,RB可由电阻箱的刻度盘上读得。

图 1-1 3. 用分压法测量电压表的内阻。

如图1-2所示。

V为被测内阻(RV)的电压表。

测量时先将开关S闭合,调节直流稳压电源的输出电压,使电压表V的指针为满偏转。

然后断开开关S,调节RB使电压表V的指示值减半。

此时有:RV=RB+R1电压表的灵敏度为:S=RV/U (Ω/V) 。

式中U为电压表满偏时的电压值。

4. 仪表内阻引起的测量误差(通常称之为方可调稳压源法误差,而仪表本身结构引起的误差称为仪表基图1-2 本误差)的计算。

(1)以图1-3所示电路为例,R1上的电压为R1 1 UR1=─── U,若R1=R2,则 UR1=─ U 。

R1+R2 2现用一内阻为RV的电压表来测量UR1值,当RVR1RV与R1并联后,RAB=───,以此来替代RV+R1RVR1────RV+R1上式中的R1,则得U'R1=────── U 图 1-3RVR1 ───+R2 RV+R1RVR1────RV+R1 R1 绝对误差为△U=U'R1-UR1=U(─────—-────)RVR1 R1+R2 ───+R2 RV+R1 -R2 1R2U化简后得△U=───────────────── 2 2RV(R1+2R1R2+R2)+R1R2(R1+R2)U若 R1=R2=RV,则得△U =-─6vU'R1-UR1-U/6相对误差△U%=─────×100%=──×100%=-33.3% UR1 U/2由此可见,当电压表的内阻与被则电路的电阻相近时,测量的误差是非常大的。

直流电压表的设计实验报告

直流电压表的设计实验报告

直流电压表的设计实验报告直流电压表的设计实验报告引言:直流电压表是一种测量电路中直流电压的仪器。

在电子工程领域中,直流电压表是一种常用的测试工具。

本实验旨在设计并制作一台简单实用的直流电压表,以便能够准确测量电路中的直流电压。

一、实验目的:本实验的目的是设计并制作一台直流电压表,通过实验验证其准确性和可靠性。

具体目标如下:1. 理解直流电压表的工作原理;2. 学会使用电流表、电阻器等元器件进行电路设计;3. 测试直流电压表的灵敏度和测量范围。

二、实验原理:直流电压表是基于毫伏表的原理设计的。

毫伏表是一种电压测量仪器,它通过将待测电压与已知电阻串联,通过测量电流大小来计算待测电压的值。

直流电压表的关键是选择合适的电阻值,以确保测量电流的幅度适中,既能够保证测量精度,又不会对待测电路产生明显的影响。

三、实验材料和仪器:1. 直流电源;2. 电流表;3. 电阻器;4. 连接线;6. 待测电路。

四、实验步骤:1. 将直流电源的正极与待测电路的正极连接,负极与待测电路的负极连接;2. 将电流表的正极与待测电路的正极连接,负极与电阻器的一端连接;3. 将电阻器的另一端与待测电路的负极连接;4. 打开直流电源,调节电压大小,观察电流表的读数;5. 记录电流表的读数和待测电压的实际值;6. 重复步骤4和步骤5,改变待测电压的大小,以验证直流电压表的准确性和可靠性。

五、实验结果和分析:通过实验测量,我们得到了一系列的待测电压和电流表的读数。

根据实验数据,我们可以绘制出待测电压和电流表读数的关系曲线。

通过分析曲线,我们可以得出以下结论:1. 直流电压表的灵敏度较高,能够准确测量待测电压的变化;2. 直流电压表的测量范围较广,能够满足大部分实际测量需求;3. 直流电压表的测量精度较高,能够满足精确测量的要求。

六、实验总结:通过本实验,我们成功设计并制作了一台直流电压表。

实验结果表明,该直流电压表具有较高的灵敏度、较广的测量范围和较高的测量精度。

16串联电路电压规律实验报告单(样表,供参考)

16串联电路电压规律实验报告单(样表,供参考)

〖长郡芙蓉中学物理实验报告单〗
串联电路电压的规律实验报告
班级: 记录: 组次:________ 日期:_____年___月___日
本组成员: 实验目的: 器 材: 实验步骤与记录:
一、研究串联电路的电压关系
1、按下图将L 1、L 2组成串联电路。

2、在下面三幅电路图中标出电压表的“+”、“-”接线柱。

3、用电压表分别测出:灯泡L 1两端的电压U 1,灯泡L 2两端的电压U 2,灯泡L 1与L
4、实验结论:串联电路两端总电压与各部分电路两端电压的关系是____________。

二、测串联电池组的电压:
取三节干电池,分别测出每节电池的电压。

再将这三节干电池按下图串联成电池组,测出串联电池组的电压,将测得的数据记录到下表中。

分析串联电池组的电压跟
结论:_________________________________________________________。

物理实验:用电压表测电压

物理实验:用电压表测电压

物理实验:用电压表测电压各位读友大家好,此文档由网络收集而来,欢迎您下载,谢谢实验:用电压表测电压教学目标知识目标:1、掌握电压表的使用.2、理解串联电路、并联电路的电压关系.能力目标:培养学生观察能力、动手实验能力.情感目标:培养认真细致的实验作风,实事求是的科学态度.教学建议教材分析从知识上看,这是一节探索性实验课,是电压、电压表知识的综合应用.通过该节课实验活动,进一步熟练掌握电压表的使用,对串联电路、并联电路有进一步认识,通过实验探究活动,发现串联电路、并联电路的电压关系.通过本节实验活动,达到培养学生探究意识、提高研究能力的目的.从技能上看,本实验要求学生独立地识别电路和组成电路,选择量程,进行接线操作,读取数据,完成正确使用电压表的各种技能训练.这些技能的训练,是初中电学实验共有的,它是电学实验的基础.从培养学生的科学态度和优良习惯来看,本实验是学生做的第二个实验,对实验数据的采取实事求是的态度,不草率,不弄虚作假;电路接线完毕,闭合开关之前,需要检查一遍电路;对没有把握的电路接通,应采用瞬时试触的办法等,都应该养成良好习惯.教法建议本节课的任务很重,具有一定难度,在教学中要采取一些措施,进行突破和落实.一、课堂教学组织1.做好组织工作.保持安静、有秩序的环境是商号实验课的必要条件.在学生进入实验室之前,应对实验提出明确具体的要求,使上课一开始,便有一个良好秩序的开端.实验小组人数不宜过多,应该使每个学生有尽可能的动手机会.2.对各小组的器材预先做好检查,要准备一些备用器材,使教师不至于忙于排除器材的故障,而影响对学生的指导作用.3.通过提问,对电压表的使用规则做一次简单回顾.4.在学生动手实验之前,教师要进行示范操作,以减少学生实验的盲目性.示范操作应做到:(1)要提高示范操作的可见度,把所有器材都展示在竖直面上,是这些器材的布置、示范操作动作,都能被学生看的一清二楚.(2)教师的示范不是让学生照葫芦画瓢.教师走一步,学生跟一步的方法是不可取的,这无助于培养学生的能力.要把教师的示范操作,与理顺学生在实验中的思路、培养学生的能力、促进学生养成良好习惯等目的结合起来,让学生在完全领会的情况下,在独立地进行系统的操作.(3)教师的示范操作及讲解的内容,事先要有全面的设计.下面的内容可供参考.①连线的先后次序;②接线的技能,即把松散的多股线用手指搓成一条,把线的端头沿顺时针方向绕在接线柱的螺丝上等等.③读数要客观(可把电压表读数按真实情况模拟放大,全班共同读数),当测出串联电路的总电压和各部分电压之和不严格相等时,要实事求是,可以告诉学生在误差允许范围内这是正常的,但不必介绍系统误差和偶然误差);④连线完毕,按接线的思路检查一遍电路,再闭合开关;⑤对没有把握的电路,用试触的办法,试探接通电路;⑥合理换用量程;⑦正确选用“+”、“-”接线柱;⑧实验结束后,整理好实验器材使其恢复原状.示凡是按实验步骤进行的,但应注意把以上有关知识、技能、非智力因素交叉融合在一起,穿插在各个有关的实验步骤中讲解,以求通过示范,达到清晰实验思路、规范实验操作、培养实验良好习惯等目的.二、实验顺序建议:1.用电压表测一节干电池电压,两节干电池串联、并联的电压,把所测得的数据填入设计的表格中.2.分别按图1甲、乙、丙电路连接导线,每次检查无误后再闭合开关计下电压表的示数U1、U2、U.每次读数后都应及时断开开关,把所测得的数据填入设计的表格中.3.分别按图2甲、乙电路连接导线,每次检查无误后再闭合开关计下电压表的示数U1、U2.每次读数后都应及时断开开关,把所测得的数据填入设计的表格中.教学设计方案教学单元分析本节教学重点是学生亲自动手连接电路、连接电压表、使用电压表测某段电路的电压,通过记录数据的分析,归纳出串联电路、并联电路的总电压与各部分电压关系.通过本节实验,培养学生实验技能,养成良好的实验习惯,培养学生分析归纳的能力.教学过程分析1.让学生首先用电压表测电池电压,是为了教会学生在今后使用电池时,通过测量进行鉴别特别是否使用过一段时间的旧电池,还是新电池.2.两个灯泡串联时,测总电压与每一个灯泡电压之和的关系,是本节课的重点,测出数据后,注意在教师的引导下,让学生分析、归纳、总结出总电压与每一个灯泡电压之和的关系,不要教师代替.3.对于并联电路,学生感到困难的是连接电路,而不是测量.所以教师要有耐心给学生想一想、试一试的机会,不要操之过急,更不要代替.4.设计表格,认真记录数据,整理实验报告,对学生要严格要求、规范要求.板书设计:探究活动【课题】扩展实验:研究混联电路总电压和各支路电压的关系【组织】小组【流程】设计实验电路设计记录表格数据分析得出结论,并于串、并两电路中电压关系进行比较.各位读友大家好,此文档由网络收集而来,欢迎您下载,谢谢。

电压实验报告

电压实验报告

实验名称: 练习使用电压表 实验目的:正确使用电压表测用电器工作时的电压实验器材:学生电源 实验步骤:1、 校零:2、 按电路图把电压表与用电器 (小灯泡)—联,并注意要让电流从 线柱流入,从_接线柱流出,并选择合适的3、测出灯泡工作时两端的值实验结论:小灯泡工作时两端的电压 U= _________ V1。

电压表正确使用要注意以下几点:(“两要、两不要、两看清”)“两要”:电压表要与被测用电器 ______ 联,电流 要 _____ 进 _____ 出.“两不要":被测电压不要超过电压表 ________ ,不超量程时可把电压表直接接到电 源两极上,测出 _____________ 。

“两看清”:看清电压表所用 __________ ,看清电压表每一小格所表示的电压值 即 _________ O九年级物理实验报告单姓名:组名:教师评分:日期物理实验报告单实验名称:探究串联电路中用电器两端的电压与电源两端电压的关系实验目的:探究串联电路的电压关系实验器材:学生电源、电压表、三个小灯泡(其中两个规格相同)、开关、导线若干实验步骤:LI ①按照电路图连接实物图;②将电压表分别并联在电路中AB之间、BC之间、AC之间,并分别记录测量的电压值;③换用另外的小灯泡再测一次。

实验记录:嘶出淘出⅛⅛L i两端的电压U ZV L2两端的电压U ZV总电压LyV 第一次测量第二次测量实验结论:串联电路电压的特点:表达式:教师评分:日期物理实验报告单实验名称:探究并联电路各支路用电器两端的电压与电源两端电压的关系实验目的:探究并联电路的电压关系 实验器材: 学生电源、电压表、三个小灯泡(其中两个规格相同)、开关、导线若干实验步骤:① 按照电路图连接实物图; ② 将电压表分别并联在电路中AB 之间、CD 之间、EF 之间,并分别记录测量的电压值;③换用另外的小灯泡再测一次.实验记录:L i 两端的电压U ZVL 2两端的电压UZV总电压U V第一次测量第二次测量实验结论:并联电路电压的特点:表达式:教师评分:-<vr-日期中学物理实验报告单九年级实验名称:练习使用滑动变阻器实验目的:学会正确使用滑动变阻器和用滑动变阻器改变灯泡的亮度实验器材:学生电源、小灯泡及灯座、开关、滑动变阻器、导线若干实验结论:滑动变阻器接入电路的接线柱滑片P自左向右移动时电路中的变化情况(即由 A滑向B端)小灯泡(变亮,不变,变暗)电流(变大,变小,不变)A BA CA DB CB DC D教师评分:组名:实验步骤:1、检查器材:检查实验所需器材是否齐全。

万用表实验报告

万用表实验报告

物理实验报告姓名:杜伟胜班级桌号日期成绩一、实验项目:万用表的使用二、实验目的:掌握万用表的使用方法三、实验仪器:mf500-4 型万用表、直流稳压电源、滑线变阻器、标准电阻箱、电阻板、暗盒子、伏特表、毫安表、单刀开关、双刀开关、导线7条、故障线2条。

四、实验内容步骤及实验记录:1.用万用表测量交流电压、直流电流和电阻(1) 用交流电压档测量市电电压值(约220v);将万用表置于交流250v档,调零.用表笔探测交流电源插座的插孔。

手不可接触表笔金属部分。

测量值为228v,在仪器工作允许范围。

可以通过调节实验室的交流稳压电源到输出220v。

(2)用欧姆档测量电阻板上的电阻值,并指明所用档次的中值电阻值为多少? 测量前必须调零,并使电路不闭合、不通电。

c(3) 按图1连接电路.电源电压取5伏,选ubc、ucd、择合适的量程分别测出uab、ubd和uad,同时要记录测量量程及其内阻;(灵敏度20kω/v)图 1(4)选择合适的量程测出回路中的电流i,并记录测量量程和内阻(50μa表头,内阻r2.用万用表检查和排除故障(用伏特计法)按图2连接电路.其中电源电压e取5伏,电阻用电阻箱500欧左右。

把检查过程记录下来。

现象:毫安表没有示数,伏特表有示数,’’’’''ab有电压,cd无电压,dc无电压,fd无电压,’'’’’’fh无电压,fc有电压,cd有电压hf间有电压,’'故知线ff为故障线,dd为故障线。

'3.用万用表判断黑盒子内的元器件及其连接电路。

元器件有干电池(1.5v)、电容器、电阻、二极管中的四只三、误差分析1、由图1电路的电压测量数据发现,实际测量值小于计算值,尤其是ucd。

电路,增加了电路总电阻,导致总电流的减小。

电流接入误差计算如下:?i/i测?ra/r等故 3、?i?3.1/121?40?μa实验中出现的问题及解决四、注意事项(1)测量前一定要根据被测量的种类、大小将转换开关拨至合适的位置;(2)执表笔时,手不能接触任何金属部分;(3)测试时采用跳跃接法,即在用表笔接触测量点的同时,注视电表指针偏转情况,随时准备在出现不正常现象时使表笔离开测量点。

《学生实验:练习使用多用电表》 学历案

《学生实验:练习使用多用电表》 学历案

《学生实验:练习使用多用电表》学历案一、学习目标1、了解多用电表的基本结构和功能,认识表盘上的刻度和量程。

2、掌握多用电表测量电压、电流和电阻的方法和操作步骤。

3、学会正确读取多用电表的测量数据,并能对测量结果进行误差分析。

4、培养学生的动手操作能力、观察能力和数据分析能力,提高学生的科学素养。

二、学习重难点1、重点(1)多用电表测量电压、电流和电阻的原理和操作方法。

(2)正确读取多用电表的测量数据,掌握数据的处理和误差分析。

2、难点(1)理解多用电表测量电阻的原理,掌握欧姆调零的方法和操作要点。

(2)根据测量对象合理选择多用电表的量程和挡位,提高测量的准确性。

三、学习资源1、多用电表、电池、电阻箱、小灯泡、导线等实验器材。

2、多媒体课件、实验操作视频等教学资源。

四、学习过程1、知识回顾(1)复习电流表、电压表和欧姆表的测量原理和使用方法。

(2)回顾电阻定律、欧姆定律等相关知识。

2、新课导入展示多用电表的实物,介绍多用电表的功能和特点,激发学生的学习兴趣。

3、多用电表的结构和功能(1)观察多用电表的表盘,认识刻度线和量程,了解电压、电流和电阻测量挡位的分布。

(2)了解多用电表的选择开关、表笔插孔等结构的作用。

4、多用电表测量电压(1)将多用电表的选择开关拨到直流电压挡,根据待测电压的大小选择合适的量程。

(2)将红表笔接在高电势端,黑表笔接在低电势端,与待测电路并联。

(3)读取表盘上的电压示数,注意量程和最小分度值。

5、多用电表测量电流(1)将多用电表的选择开关拨到直流电流挡,根据待测电流的大小选择合适的量程。

(2)将红表笔接在电流流入端,黑表笔接在电流流出端,与待测电路串联。

(3)读取表盘上的电流示数,注意量程和最小分度值。

6、多用电表测量电阻(1)将多用电表的选择开关拨到电阻挡,根据待测电阻的估计值选择合适的量程。

(2)进行欧姆调零,将两表笔短接,调节欧姆调零旋钮,使指针指在电阻刻度的零刻度处。

数字电压表实验报告

数字电压表实验报告

数字电压表的综合设计一、设计题目:基于FPGA的数字电压表设计二、设计任务:1、具有0—2.5v的电压量程;2、通过LED灯显示2进制数字量;3、用FPGA设计制作成数字电压表的专用集成芯片,结合LED数码管构成一个能够实时显示的电压表。

三、总体设计框图:1、总体框图22、分模块设计框图:LED数码管显示模块TL549A/D处理模块3、程序代码:查找表程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity chazhaobiao isport(V:in std_logic_vector(7 downto 0);q:out std_logic_vector(11 downto 0));end entity chazhaobiao;architecture behav of chazhaobiao issignal c30,c74,c117,d0,d1:std_logic;signal HB,LB:std_logic_vector(11 downto 0);begin --A/D值的高4位转换成3位BCD码HB<="001001000000" WHEN V(7 DOWNTO 4)="1111" ELSE --2.40 "001000100100" WHEN V(7 DOWNTO 4)="1110" ELSE --2.24"001000001000" WHEN V(7 DOWNTO 4)="1101" ELSE --2.08"000110010010" WHEN V(7 DOWNTO 4)="1100" ELSE --1.92"000101110110" WHEN V(7 DOWNTO 4)="1011" ELSE --1.76"000101100000" WHEN V(7 DOWNTO 4)="1010" ELSE --1.60"000101000100" WHEN V(7 DOWNTO 4)="1001" ELSE --1.44"000100101000" WHEN V(7 DOWNTO 4)="1000" ELSE --1.28"000100010010" WHEN V(7 DOWNTO 4)="0111" ELSE --1.12"000010010110" WHEN V(7 DOWNTO 4)="0110" ELSE --0.96"000010000000" WHEN V(7 DOWNTO 4)="0101" ELSE --0.80"000001100100" WHEN V(7 DOWNTO 4)="0100" ELSE --0.64"000001001000" WHEN V(7 DOWNTO 4)="0011" ELSE --0.48"000000110010" WHEN V(7 DOWNTO 4)="0010" ELSE --0.32"000000010110" WHEN V(7 DOWNTO 4)="0001" ELSE --0.16"000000000000"; --0.00--A/D值低4位变为3位BCD码LB<="000000010101" WHEN V(3 DOWNTO 0)="1111" ELSE --0.15 "000000010100" WHEN V(3 DOWNTO 0)="1110" ELSE --0.14 "000000010011" WHEN V(3 DOWNTO 0)="1101" ELSE --0.13"000000010010" WHEN V(3 DOWNTO 0)="1100" ELSE --0.12"000000010001" WHEN V(3 DOWNTO 0)="1011" ELSE --0.11"000000010000" WHEN V(3 DOWNTO 0)="1010" ELSE --0.10"000000001001" WHEN V(3 DOWNTO 0)="1001" ELSE --0.09"000000001000" WHEN V(3 DOWNTO 0)="1000" ELSE --0.08"000000000111" WHEN V(3 DOWNTO 0)="0111" ELSE --0.07"000000000110" WHEN V(3 DOWNTO 0)="0110" ELSE --0.06"000000000101" WHEN V(3 DOWNTO 0)="0101" ELSE --0.05"000000000100" WHEN V(3 DOWNTO 0)="0100" ELSE --0.04"000000000011" WHEN V(3 DOWNTO 0)="0011" ELSE --0.03"000000000010" WHEN V(3 DOWNTO 0)="0010" ELSE --0.02"000000000001" WHEN V(3 DOWNTO 0)="0001" ELSE --0.01"000000000000" ; --0.00c30<='1' when HB(3 downto 0)+LB(3 downto 0)>"01001" else'0';d1<='1' when HB(3 downto 0)>="1000" and LB(3 downto 0)>="1000" else '0';c74<='1' when HB(7 downto 4)+LB(7 downto 4)>"01001" else'0';d0<='1' when HB(7 downto 4) + LB(7 downto 4) ="01001" else'0';c117<='1' when HB(11 downto 8)+LB(11 downto 8)>"01001" else '0';q(3 downto 0)<=HB(3 downto 0)+LB(3 downto 0)+"0110" whenc30='1' elseHB(3 downto 0)+LB(3 downto 0)+"0110" whend1='1' elseHB(3 downto 0)+LB(3 downto 0);q(7 downto 4)<=HB(7 downto 4)+LB(7 downto 4)+"0111" whenc74='1' and c30='1' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='1' and c30='0' elseHB(7 downto 4)+LB(7 downto 4)+"0110" whenc74='0' and c30='1' and d0='1' elseHB(7 downto 4)+LB(7 downto 4)+"0001" whenc74='0' and (c30='1'or d1='1') and d0='0' elseHB(7 downto 4)+LB(7 downto 4);q(11 downto 8)<=HB(11 downto 8)+LB(11 downto 8)+"0111" whenc117='1' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0110" whenc117='1' and c74='0' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='1' elseHB(11 downto 8)+LB(11 downto 8)+"0001" whenc117='0' and c74='0' and (c30='1'or d1='1') and d0='1' elseHB(11 downto 8)+LB(11 downto 8);end ;译码器程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;entity yima isport(clk:in std_logic;d:in std_logic_vector(11 downto 0);seg: out std_logic_vector(7 downto 0);sel: out std_logic_vector(2 downto 0));end entity yima;architecture behav of yima issignal wei:std_logic_vector(2 downto 0);signal num:std_logic_vector(3 downto 0);signal a:std_logic_vector(2 downto 0);beginnum<=d(3 downto 0) when a="000" elsed(7 downto 4) when a="001" elsed(11 downto 8) ;seg(7)<='0' when wei="011" else'1';wei<="110" when a="000" else"101" when a="001" else"011";sel<=wei;COM1: process(clk)beginif clk'event and clk='1' thena<=a+1;if a="010" then a<="000";end if;end if;end process COM1;COM2: process(num)begincase num iswhen "0000"=>seg(6 downto 0)<="1000000";--0when "0001"=>seg(6 downto 0)<="1111001";--1when "0010"=>seg(6 downto 0)<="0100100";--2when "0011"=>seg(6 downto 0)<="0110000";--3when "0100"=>seg(6 downto 0)<="0011001";--4when "0101"=>seg(6 downto 0)<="0010010";--5when "0110"=>seg(6 downto 0)<="0000010";--6when "0111"=>seg(6 downto 0)<="1111000";--7when "1000"=>seg(6 downto 0)<="0000000";--8when "1001"=>seg(6 downto 0)<="0010000";--9--when "1010"=>seg(6 downto 0)<="1110111";--when "1011"=>seg(6 downto 0)<="1111100";--when "1100"=>seg(6 downto 0)<="0111001";--when "1101"=>seg(6 downto 0)<="1011110";--when "1110"=>seg(6 downto 0)<="1111001";--when "1111"=>seg(6 downto 0)<="1110001";--when others=>seg(6 downto 0)<="0111111";when others=>seg(6 downto 0)<="1000000";end case;end process COM2;end;电压表顶层程序代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity dianyabiao isPort (clk : in std_logic; --50m系统时钟din : in std_logic; --(tlc549)串行数据输出端clk_tlc549 : out std_logic;cs_tlc549 : out std_logic; --tlc549的片选信号输入端shift : out std_logic_vector(3 downto 0);--动态扫描时的位选信号cs_led : out std_logic_vector(1 downto 0);--发光二极管及数码管的片选信号输入端dout_led : out std_logic_vector(7 downto 0));--惧的发光器件的信号输出端end dianyabiao;architecture Behavioral of dianyabiao istype state is (st1,st2);signal current_state : state;type state1 is (st0,st1,st2);signal current_state1 : state1;type state2 is (st0,st1,st2,st3,st4);signal current_state2 : state2;signal reg_datain : std_logic_vector(7 downto 0);signal reg_dout : std_logic_vector(15 downto 0);signal dout : std_logic_vector(4 downto 0);signal reg_din : integer range 0 to 80000;signal clk1m,clk1k,clk100 : std_logic;begin--分频部分process(clk) --产生1MHz的频率variable cnt : integer range 0 to 50;beginif clk'event and clk='1' then cnt:=cnt+1;if cnt<50 thenif cnt<25 then clk1m<='0';else clk1m<='1';end if;else cnt:=0;end if;end if;end process;process(clk1m) --产生1KHz的频率variable cnt : integer range 0 to 1000;beginif clk1m'event and clk1m='1' then cnt:=cnt+1;if cnt<1000 thenif cnt<500 then clk1k<='0';else clk1k<='1';end if;else cnt:=0;end if;end if;end process;process(clk1k) --产生100Hz的频率variable cnt : integer range 0 to 10;beginif clk1k'event and clk1k='1' then cnt:=cnt+1;if cnt<10 thenif cnt<5 then clk100<='0';else clk100<='1';end if;else cnt:=0;end if;end if;end process;--tlc549的控制部分process(clk1k)variable cnt : integer range 0 to 7;variable datain : std_logic_vector(7 downto 0);beginif clk1k'event and clk1k='1' thencase current_state iswhen st1=> --将数据进行串并转换cs_tlc549<='0';datain:=datain(6 downto 0)&din; --将读取的数据向高位移位clk_tlc549<='1';current_state<=st2;when st2=>cs_tlc549<='0';clk_tlc549<='0';current_state<=st1;if cnt<7 then cnt:=cnt+1; --读取8位数据else cnt:=0;reg_din<=conv_integer(datain)*195; --每单位数字量乘以系数=当前电压值;reg_datain<=not(datain);end if;when others=>current_state<=st1;end case;end if;end process;--十进制-BCD码转换;process(clk100)variable reg : integer range 0 to 80000;variable d1,d2,d3,d4 : std_logic_vector(3 downto 0);beginif clk100'event and clk100='1' thencase current_state1 iswhen st0=>reg:=reg_din;d1:="0000";d2:="0000";d3:="0000";d4:="0000";current_state1<=st1;when st1=>if reg>9999 then reg:=reg-10000;d1:=d1+1;elsif reg>999 then reg:=reg-1000;d2:=d2+1;elsif reg>99 then reg:=reg-100;d3:=d3+1;elsif reg>9 then reg:=reg-10;d4:=d4+1;else current_state1<=st2;end if;when st2=>reg_dout<=d1&d2&d3&d4;current_state1<=st0;when others=>current_state1<=st0;end case;end if;end process;--动态扫描控制;process(clk1k)beginif clk1k'event and clk1k='1' thencase current_state2 iswhen st0=> --在发光二极管上显示模数转换后的数字量cs_led<="01"; --熄灭数码管shift<="1111";dout<="11111";current_state2<=st1;when st1=> --在数码管的最高位显示数据cs_led<="10"; --熄灭发光二极管shift<="0111"; --最高位数码管显示dout<='0'&reg_dout(15 downto 12); --小数点显示,并且将最高位的数据送给译码器current_state2<=st2;when st2=> --在数码管的次高位显示数据cs_led<="10"; --熄灭发光二极管shift<="1011"; --次高位数码管显示dout<='1'&reg_dout(11 downto 8); --小数点不显示,将次高位的数据送给译码器current_state2<=st3;when st3=> --在数码管的次低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1101"; --次低位数码管显示dout<='1'&reg_dout(7 downto 4); --小数点不显示,将次低位的数据送给译码器current_state2<=st4;when st4=> --在数码管的最低位显示数据cs_led<="10"; --熄灭发光二极管shift<="1110"; --最低位数码管显示dout<='1'&reg_dout(3 downto 0); --小数点不显示,将最低位的数据送给译码器current_state2<=st0;when others=>current_state2<=st0;end case;end if;end process;--**将BCD码进行8段译码(包括小数点)**----**dout(4)代表小数点,低电平点亮**--code1: process (dout,reg_datain)begincase dout(3 downto 0) iswhen "0000"=>dout_led<=dout(4)&"0000001";when "0001"=>dout_led<=dout(4)&"1001111";when "0010"=>dout_led<=dout(4)&"0010010";when "0011"=>dout_led<=dout(4)&"0000110";when "0100"=>dout_led<=dout(4)&"1001100";when "0101"=>dout_led<=dout(4)&"0100100";when "0110"=>dout_led<=dout(4)&"0100000";when "0111"=>dout_led<=dout(4)&"0001111";when "1000"=>dout_led<=dout(4)&"0000000";when "1001"=>dout_led<=dout(4)&"0000100";--"DOUT_LED"送给数码管;whenothers=>dout_led<=reg_datain(7)&reg_datain(0)&reg_datain(1)&reg_datain(2)&re g_datain(3)&reg_datain(4)&reg_datain(5)&reg_datain(6);--"DOUT_LED"送给发光二极管;end case;end process;end Behavioral;四、波形仿真图:五、结论:本次实验达到了实验的基本要求,能够通过调节高精密变阻器实现0—2.5v的电压测量及显示。

数字电压表实验报告

数字电压表实验报告

实验五电压表实验1.设计过程:按照“建立项目文件”--“新建VHDL源文件”--“配置管脚”--“编译下载”--“芯片测试”的顺序。

原理图:1.FPGA的系统时钟来自于小脚丫FPGA开发板配置的24MHz时钟晶振,连接FPGA的C1引脚。

2.实验借助FPGA底板自带的ADC模块,具体信息如下:ADC模块的功能时实现模拟信号转换数字信号,主要包含P1(测试点)、Radj1(10K的可调电位器)和U3(8位串行模数转换器ADC081S)。

在不外接其他信号的情况下,旋转电位计,ADC081S的输入电压在0到3.3V之间变化,通过模数转换实现ADC的采样,原理图连接如图所示:3.实验思路如下:1.根据ADC模块的时序图,完成将ADC模块和FPGA引脚连接起来。

时序图如下:2. 根据程序的框图把完整的程序写出来,显示模块和译码模块利用以前写过的进行例化使用。

以下是程序的框图:VHDL 汇编语言如下: 2.总代码: library ieee;use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;entity dianyabiao is port( clk: in std_logic;--定义输入和输出 sda: in std_logic; sclk: buffer std_logic; cs: out std_logic; sdata: out std_logic; sck: out std_logic; rck: out std_logic );end dianyabiao;architecture one of dianyabiao is component led_573 --例化port( rst: in std_logic; clk_t_in : in std_logic; sdata: out std_logic; H: in std_logic; ledcode1,ledcode2,ledcode3,ledcode4: in std_logic_vector(6 downto 0); dot: in std_logic_vector(3 downto 0);sda sck cssck: out std_logic;rck: out std_logic);end component;component seg7coderport(data_in: in integer range 0 to 15;seg7_out: out std_logic_vector(6 downto 0));end component;signal ad_phase: integer range 0 to 19;signal clk_t_in: std_logic;signal ad_clk: std_logic;signal ad_out:std_logic_vector(7 downto 0);signal ad:std_logic_vector(7 downto 0);signal data_in1:integer range 0 to 15;signal data_in2:integer range 0 to 15;signal seg1,seg2: std_logic_vector(6 downto 0); --七段码beginu1:seg7coderport map(data_in => data_in1,seg7_out => seg1);u2:seg7coderport map(data_in => data_in2,seg7_out => seg2);u3:led_573port map(rst=>'1',clk_t_in => clk,H => '1',dot => "0000",ledcode1 => seg1,ledcode2 => seg2,ledcode3 =>"1111110",ledcode4 =>"1111110",sdata => sdata,sck => sck,rck => rck);--得到分频时钟,50-20000khz的分频process(clk)variable divcnt: integer range 0 to 1000;beginif clk'event and clk='1' thenif divcnt=1000 thendivcnt:=0;clk_t_in<=not clk_t_in;elsedivcnt:=divcnt+1;clk_t_in<=clk_t_in;end if;end if;end process;--ad模快process(clk_t_in)-- ad_clk<=clk_t_in;beginif clk_t_in'event and clk_t_in = '1' thensclk<=not sclk;if sclk='1' thenif ad_phase = 19 thenad_phase<=0;elsead_phase<=ad_phase+1;end if;end if;if sclk='0' and ad_phase>16 then --保证cs的值cs<='1';elsecs<='0';end if;if ad_phase=3 and sclk='0' then --值的输出ad(7)<=sda;end if;if ad_phase=4 and sclk='0' thenad(6)<=sda;end if;if ad_phase=5 and sclk='0' thenad(5)<=sda;end if;if ad_phase=6 and sclk='0' thenad(4)<=sda;end if;if ad_phase=7 and sclk='0' thenad(3)<=sda;end if;if ad_phase=8 and sclk='0' thenad(2)<=sda;end if;if ad_phase=9 and sclk='0' thenad(1)<=sda;end if;if ad_phase=10 and sclk='0' thenad(0)<=sda;end if;if ad_phase=11 and sclk='0' thenad_out<=ad;end if;end if;end process;process(ad_out) --完成将ad_out的八位输出转化成两位16进制的数begincase ad_out(3 downto 0) is--when "0000"=>data_in1<=0;when "0001"=>data_in1<=1;when "0010"=>data_in1<=2;when "0011"=>data_in1<=3;when "0100"=>data_in1<=4;when "0101"=>data_in1<=5;when "0110"=>data_in1<=6;when "0111"=>data_in1<=7; when "1000"=>data_in1<=8; when "1001"=>data_in1<=9; when "1010"=>data_in1<=10; when "1011"=>data_in1<=11; when "1100"=>data_in1<=12; when "1101"=>data_in1<=13; when "1110"=>data_in1<=14; when "1111"=>data_in1<=15; end case;case ad_out(7 downto 4) is when "0000"=>data_in2<=0; when "0001"=>data_in2<=1; when "0010"=>data_in2<=2; when "0011"=>data_in2<=3; when "0100"=>data_in2<=4; when "0101"=>data_in2<=5; when "0110"=>data_in2<=6; when "0111"=>data_in2<=7; when "1000"=>data_in2<=8; when "1001"=>data_in2<=9; when "1010"=>data_in2<=10; when "1011"=>data_in2<=11; when "1100"=>data_in2<=12; when "1101"=>data_in2<=13; when "1110"=>data_in2<=14; when "1111"=>data_in2<=15; end case;end process;end architecture;3.管脚分配:4.实验现象:旋转电压旋钮,电压从00变化到FF,现象如下面所示:。

实验报告:练习使用多用电表

实验报告:练习使用多用电表

实验报告:练习使用多用电表高二( )班 姓名: 座号:【实验目的】1、了解多用电表的构造和原理,掌握多用电表的使用方法.2、使用多用电表测电压、电流及电阻.3、会使用多用电表探索黑箱中的电学元件.【实验原理】一、欧姆表原理(多用电表测电阻原理)1、构造:如图所示,欧姆表由电流表G 、电池、调零电阻R 和红、黑表笔组成.欧姆表内部:电流表、电池、调零电阻串联.外部:接被测电阻R x .全电路电阻R 总=R g +R +r +R x .2、工作原理:闭合电路欧姆定律I =E R g +R +r +R x.3、刻度的标定: 红、黑表笔短接(被测电阻R x =0)时,调节调零电阻R ,使I =I g ,电流表的指针达到满偏,这一过程叫欧姆调零.(1)当I =I g 时,R x =0,在满偏电流I g 处标为“0”.(图甲)(2)当I =0时,R x →∞,在I =0处标为“∞”.(图乙)(3)当I =I g 2时,R x =R g +R +r ,此电阻值等于欧姆表的内阻值,R x 叫中值电阻. 三、多用电表1、多用电表可以用来测量电流、电压、电阻等,并且每一种测量都有几个量程.2、外形:上半部为表盘,表盘上有电流、电压、电阻等多种量程的刻度;下半部为选择开关,它的四周刻有各种测量项目和量程.3、多用电表面板上还有:欧姆表的调零旋钮(使电表指针指在右端零欧姆处)、指针定位螺丝(使电表指针指在左端的“0”位置)、表笔的正负插孔(红表笔插入“+”插孔,黑表笔插入“-”插孔).四、二极管的单向导电性1、晶体二极管是由半导体材料制成的,它有两个极,即正极和负极,它的符号如图甲所示.2、晶体二极管具有单向导电性(符号上的箭头表示允许电流通过的方向).当给二极管加正向电压时,它的电阻很小,电路导通,如图乙所示;当给二极管加反向电压时,它的电阻很大,电路截止,如图丙所示.3、将多用电表的选择开关拨到欧姆挡,红、黑表笔接到二极管的两极上,当黑表笔接“正”极,红表笔接“负”极时,电阻示数较小,反之电阻示数很大,由此可判断出二极管的正、负极.*注意事项:(1)因为表头是多用的,而电流必须从其正接线柱流入,表内又有电池,所以红表笔接的是表头的正接线柱,但却与电池负极相连,而黑表笔与电池正极相连。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档