电子频率计课程设计报告
电子技术设计报告频率计
电子技术设计报告频率计引言频率计是一种广泛使用的电子设备,它可以测量电子设备中信号的频率。
频率计广泛应用于各种领域,包括无线通信、音频、雷达、测量和控制等领域。
本文将介绍一种电子技术设计报告频率计,包括其原理、设计步骤、测试和评估。
原理频率计的基本原理是计算输入信号的周期,然后通过周期计算频率。
为了计算周期,频率计使用一个计数器,并将其与输入信号同步。
当输入信号的一个完整周期结束时,计数器将计数器加1。
通过频率计算器和计算时间,可以计算出输入信号的频率。
设计步骤1. 选择信号源:频率计需要一个信号源,该信号源可以是一个放大器、一个信号发生器或一个电路板的特定部分。
选择的信号源应该产生一个稳定的、固定频率的信号。
2. 选择计数器:根据所测量的频率范围选择计数器类型。
如果需要测量高频,可以选择快速计数器,而对于低频测量,则应选择慢速计数器。
3. 选择计数器时基:选择计数器的时基可以是信号源的参考时钟、一个晶体时钟或一个精密时基。
4. 选择显示器:频率计需要一个显示器来显示测量结果。
可以选择数字或模拟显示器,也可以选择通过计算机软件实现的图形显示器。
5. 设计频率计电路:根据选择的组件和设计要求,设计频率计电路。
6. 构建电路:将设计好的电路板组装到一个适当的机箱中,并进行初始测试。
确保电路板工作正常,并且测量结果准确。
测试和评估1. 实际测量:使用测量仪器测量信号源的频率,并将其与频率计测量的结果进行比较。
确保频率计的测量误差在合理范围内。
2. 稳定性测试:通过让信号源的频率变化来测试频率计的稳定性。
确保频率计以稳定和准确的方式测量变化的频率。
3. 精度测试:使用一个校准信号源来测试频率计的精度。
确保频率计测量的频率与校准信号源产生的频率误差在合理范围内。
总结本文介绍了一种电子技术设计报告频率计,包括其原理、设计步骤、测试和评估。
频率计是一种广泛使用的电子设备,用于测量电子设备中信号的频率。
通过选择适当的信号源、计数器和显示器以及设计频率计电路,可以构建一个稳定准确的频率计。
电子行业-电子技术设计报告频率计 精品
课程设计任务书题目简易数字频率计设计系(部) 信息工程系专业电气工程及自动化班级电气093学生姓名学号6 月20 日至 6 月24 日共 1 周指导教师(签字)系主任(签字)20XX年月日3.电子元器件的选用74LS90十进制计数器×4,74LS47显示译码器×4,七段显示器×4,74LS273八位锁存器×2,555时基电路×1,4017分频器×4,电阻、电容若干。
4.电路原理图的设计各模块原理图:计数器原理图计数器时序波形555时基电路连成多谐振荡器由555直接产生的始终脉冲分频后产生50Hz和0.5Hz标准时钟分频时序波形带锁存器的计数显示模块在控制时钟的下降沿计数器清零,计数时间开始;在控制时钟的上升沿锁存器锁存,开始显示数据;当控制时钟的下降沿再次来临锁存器仍处于锁存状态,而计数器从零再次计数……如果数据有变化,则在控制始终的上升沿更新数据。
总体原理图:测量1kHz时序图:5.参数计算分析在设计多谐振荡器时,需要产生5000Hz的方波,由公式:得错误!未找到引用源。
=2800Ω但仿真过程中经过自习调试发现,将错误!未找到引用源。
设为9.144kΩ、将错误!未找到引用源。
设为9.13kΩ、C为0.01μF,则射出为5000Hz的方波。
5.仿真分析由于仿真速度的数量级是自动靠近最高频率速度的,所以当电路中有较高频率(如:1kHz)则仿真速度为每秒对应ms数量级,也就是说要测试的频率信号,需要用一秒钟计数的话仿真要等待至少几分钟的时间。
所以仿真时测量kHz数量级的频率最为理想。
四、进程安排教学内容学时地点备注学习讲解1天图书馆、实验室弄清总体思路资料查阅与学习,讨论1天图书馆、实验室选取元器件分散设计2天实验室实现各功能模块成果验收1天实验室总体调试。
电子技术课程设计(数字频率计的设计)
一课程设计题目:数字频率计的设计二、功能要求(1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。
(2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ(3)周期范围:1ms~1s。
(4)用3个发光二极管表示单位,分别对应3个高档位。
三频率计设计原理框图正弦波数字频率计原理框图1测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。
改闸门信号控制闸门电路的导通与开断。
让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。
测量频率的误差与闸门信号的精度直接相关。
被测信号频率测量算法对应的方框图四、各部分电路及仿真1 整形电路部分整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。
整形电路可以直接用555定时器构成施密特触发。
本次设计采用555定时器,适当连接若干个电阻就可以构成触发器图1-1 整形电路将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到显示电路闸门产生输入电路闸门计数电路施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。
但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。
2 时基电路时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。
设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。
EDA频率计课程设计报告
《 E D A 频率计》课程设计报告专业:电子信息工程班级:电信0 9 0 1姓名:***************指导教师:************ **2 0 1 2 年 6 月04 日目录一、课程设计题目描述和要求 (2)二、课程设计报告内容 (3)三、总结 (5)附录 (6)参考书目 (8)引言在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更加重要。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。
一、课程设计题目描述和要求1.1、课程设计题目描述1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是0 999999Hz。
3)结果用十进制数显示。
4)按要求写好设计报告。
1.2、课程设计要求1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
2)被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。
3)再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。
4)时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。
5)f=N/T,改变时基信号的周期T,即可得到不同的测频范围。
6)当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。
电子课程设计简单频率计
电子课程设计简单频率计一、教学目标本课程旨在通过学习电子课程设计简单频率计,让学生掌握基本的电子电路知识,培养学生运用科学的方法和技能进行电子设计与制作的实践能力。
同时,通过课程的学习,使学生认识到电子技术在现代社会中的广泛应用,培养学生的创新精神和团队合作意识。
具体的教学目标如下:1.了解频率计的基本原理和结构;2.掌握常用的电子元器件的功能和用途;3.学习简单的电子电路设计方法。
4.能够运用万用表、示波器等仪器进行电子电路的测试;5.能够根据设计要求,选用合适的元器件,进行简单的电子电路设计与制作;6.能够对电子电路进行调试和故障排除。
情感态度价值观目标:1.培养学生对电子技术的兴趣和好奇心,激发学生的学习热情;2.培养学生团队合作、积极探究的科学精神;3.使学生认识到电子技术在现代社会中的重要性,增强学生的社会责任感和使命感。
二、教学内容本课程的教学内容主要包括以下几个部分:1.频率计的基本原理和结构;2.常用的电子元器件的功能和用途;3.简单的电子电路设计方法;4.电子电路的制作与调试技巧。
具体的教学安排如下:第一课时:介绍频率计的基本原理和结构;第二课时:学习常用的电子元器件的功能和用途;第三课时:学习简单的电子电路设计方法;第四课时:进行电子电路的制作与调试实践。
三、教学方法为了提高教学效果,本课程将采用多种教学方法相结合的方式进行教学。
具体包括:1.讲授法:讲解频率计的基本原理和结构,电子元器件的功能和用途,以及电子电路的设计方法;2.实验法:通过实际操作,让学生掌握电子电路的制作和调试技巧;3.小组讨论法:引导学生进行团队合作,共同探讨和解决问题。
四、教学资源为了支持本课程的教学,我们将准备以下教学资源:1.教材:《电子技术基础》;2.参考书:电子元器件手册、电子电路设计手册等;3.多媒体资料:电子电路原理图、实验操作视频等;4.实验设备:电子实验板、万用表、示波器等。
五、教学评估本课程的教学评估将采用多元化的评价方式,以全面、客观、公正地评估学生的学习成果。
简易数字频率计(数字电路课程设计)
数字电路课程设计报告1)设计题目简易数字频率计2)设计任务和要求要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示,具体指标为:1)测量范围:1H Z—9.999K H Z,闸门时间1s;10 H Z—99.99K H Z,闸门时间0.1s;100 H Z—999.9K H Z,闸门时间10ms;1 K H Z—9999K H Z,闸门时间1ms;2)显示方式:四位十进制数3)当被测信号的频率超出测量范围时,报警.3)原理电路和程序设计:(1)整体电路数显式频率计电路(2)单元电路设计;(a)时基电路信号号(b)放大逻辑电路信号通信号(c)计数、译码、驱动电路号(3)说明电路工作原理;四位数字式频率计是由一个CD4017(包含一个计数器和一个译码器)组成逻辑电路,一个555组成时基电路,一个9014形成放大电路,四个CD40110(在图中是由四个74LS48、四个74LS194、四个74LS90组成)及数码管组成。
两个CD40110串联成一个四位数的十进制计数器,与非门U1A、U1B构成计数脉冲输入电路。
当被测信号从U1A输入,经过U1A、U1B两级反相和整形后加至计数器U13的CP+,通过计数器的运算转换,将输入脉冲数转换为相应的数码显示笔段,通过数码管显示出来,范围是1—9。
当输入第十个脉冲,就通过CO输入下一个CD40110的CP+,所以此四位计数器范围为1—9999。
其中U1A与非门是一个能够控制信号是否输入的计数电路闸门,当一个输入端输入的时基信号为高电平的时候,闸门打开,信号能够通过;否则不能通过。
时基电路555与R2、R3,R4、C3组成低频多谐振荡器,产生1HZ的秒时基脉冲,作为闸门控制信号。
计数公式:]3)2243[(443.1CRRRf++=来确定。
与非门U2A与CD4017组成门控电路,在测量时,当时基电路输出第一个时基脉冲并通过U2A反相后加至CD4017的CP,CD4017的2脚输出高电平从而使得闸门打开。
电子线路课程设计报告-数字频率计
课程设计课题:数字频率计指导老师:设计组员:时间:【课题名称】:数字频率计【课题名称任务及要求】:数字频率计用于测量正弦信号,矩形信号等波形的频率,其概念是单位时间里的脉冲个数,如果用一个定时时间T控制一个闸门电路,时间T内闸门打开,让被测信号通过而进入计数译码,可得到被测信号的频率fx=N/T,若T=1秒,则fx=N.设计要求:1.基本部分(1)被测信号的频率范围为1HZ-100KHZ,分成两个频段,即1HZ-999HZ,1-100KHZ.(2)具有自检功能,即用仪器内部的标准脉冲校准测量精度。
(3)用3为数码管显示测量数据,测量误差小于10%。
2.发挥部分(1)用发光二极管表示单位,当绿灯亮时表示HZ,红灯亮时表示KHZ。
(2)具有超量程报警功能,在超出当前量程挡的测量范围时,发出红光和音响信号。
(3)测量误差小于5%。
(4)其它.【指导老师】:张龙滨老师【课题组成员】:陈仪发、刘甲海、袁其银(按姓氏笔画排序)【成员分工】:【课题计划】:【内容摘要】:本数字频率计主要应用2个EN555分别构成时钟电路,整形电路,7809稳压电源电路CD4017分频3片CD40110计数锁存译码,3个7断数码显示器。
【作品设计】:将电路分成十大模块,即整形电路,电源电路,时钟电路,10进制分频电路,闸门电路,控制电路,计数/锁存/译码电路,显示电路。
电路方框图如下:正弦波数字频率计原理框图一、单元电路的设计: (1)电源电路平使CD4017清零,Q1、Q2、Q3Q4端全变为低电平CD4017的输出端出现的瞬时高电平信号通过二极管D4加到CD40110(1-3)的清零端R使计数器及数显清零,以便从新计数当开关打到1S档时频率计的检测周期为4S 每检测一次计数累积时间为1S数据保持为2S清零后又保持约1S,当开关打到0.001S 档时由于检测周期很短所以数显一值显示被检测结果。
.当开关打至0。
1档,计数为999HZ时,再来一个脉冲,则A6的进位输出一个高电平送入报警电路从而实现起量程报警同时送入40110的清0端计数清0及数量清0当开关打至0.001s档时当计数至1..KHZ时,再来一个脉冲则A940110的a、b输入高电平A5、A2、A4、A1 打开,从而实现100KHZ超量程报警。
电子频率计课程设计
电子频率计课程设计一、课程目标知识目标:1. 学生能理解电子频率计的基本原理,掌握其组成部分及功能。
2. 学生能运用所学知识,分析电子频率计的电路图,并阐述其工作过程。
3. 学生了解频率的概念,掌握频率的计算方法。
技能目标:1. 学生能独立完成电子频率计的组装和调试,提高动手实践能力。
2. 学生能运用电子频率计进行频率测量,并准确读取测量结果。
3. 学生能通过实际操作,培养问题分析和解决问题的能力。
情感态度价值观目标:1. 学生培养对电子技术的兴趣,激发创新精神和实践欲望。
2. 学生在学习过程中,养成合作、分享、尊重他人意见的良好品质。
3. 学生意识到电子技术在日常生活和工业生产中的应用价值,增强社会责任感。
本课程针对高中电子技术课程,结合学生年龄特点和认知水平,注重理论联系实际,提高学生的实践操作能力。
通过本课程的学习,使学生能够掌握电子频率计的相关知识,培养实际应用能力,同时培养积极的学习态度和价值观。
课程目标具体、可衡量,便于教学设计和评估。
二、教学内容本课程教学内容主要包括以下三个方面:1. 理论知识:- 频率概念及其单位- 电子频率计的原理与分类- 电子频率计的组成部分及其功能- 频率计算方法2. 实践操作:- 电子频率计电路图的识别与分析- 电子频率计的组装与调试- 频率测量方法及注意事项- 测量结果的读取与处理3. 应用拓展:- 电子频率计在实际应用中的案例介绍- 电子频率计在日常生活和工业生产中的应用- 创新设计与改进方向教学内容依据课程目标,结合教材相关章节进行安排。
教学进度分为三个阶段:- 第一阶段:理论知识学习,使学生掌握基本概念和原理。
- 第二阶段:实践操作,提高学生的动手能力,巩固理论知识。
- 第三阶段:应用拓展,激发学生创新意识,培养实际应用能力。
教学内容具有科学性和系统性,旨在帮助学生全面掌握电子频率计的相关知识,培养实际操作技能,并激发学生的学习兴趣。
三、教学方法针对本章节内容,采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过生动的语言和形象的表达,为学生讲解电子频率计的基本概念、原理和计算方法。
课程设计实验报告(简易频率计)
实验二:简易电子琴一、实验目的(1)学习采用状态机方法设计时序逻辑电路。
(2)掌握ispLEVER 软件的使用方法。
(3)掌握用VHDL 语言设计数字逻辑电路。
(4)掌握ISP 器件的使用。
二、实验所用器件和设备在系统可编程逻辑器件ISP1032一片示波器一台万用表或逻辑笔一只TEC-5实验系统,或TDS-2B 数字电路实验系统一台三、实验内容设计一个简易频率计,用于测量1MHz 以下数字脉冲信号的频率。
闸门只有1s 一档。
测量结果在数码管上显示出来。
不测信号脉宽。
用一片ISP芯片实现此设计,并在实验台上完成调试。
实验设计:1.产生准确闸门信号(1s)。
100kHz时钟经100K分频(一次完成),再经2分频产生方波,1s 用于计数,1s用于显示结果(及清零);2. 利用闸门信号控制一个计数器对被测脉冲信号进行计数, 1s内计数的结果就是被测信号的频率;3.每次对被测信号计数前,自动清零;4. 计数器采用十进制;5.显示频率值上下浮动,要修改源代码。
6.数码管高低位的接线。
VHDL源代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity fget isport(clk:in std_logic; --输入时钟源 bclk:in std_logic;kout:out std_logic_vector(26 downto 0));end fget;architecture top of fget iscomponent divideport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end component;component gateport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0));end component;component saveport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end component;signal scl:std_logic;signal sco:std_logic;signal sro:std_logic;signal sop:std_logic_vector(26 downto 0);BEGINu1:divide PORT MAP(clk,scl,sco,sro);u2:gate PORT MAP(bclk,scl,sco,sop);u3:save PORT MAP(sop,sro,kout);end;--闸门模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity gate isport(bc1:in std_logic;cl1:in std_logic;co1:in std_logic;op:out std_logic_vector(26 downto 0) --5个8421,一个七段译码);end;architecture art2 of gate iscomponent cnt10 --十进制计数模块port(clk2:in std_logic;en:in std_logic;--使能端clr:in std_logic;--重置qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end component;component seventranse --七段模块port(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end component;signal scout1:std_logic;--输出(十进制)signal scout2:std_logic;signal scout3:std_logic;signal scout4:std_logic;signal scout5:std_logic;signal scout6:std_logic;signal qout1:std_logic_vector(3 downto 0);--8421(下同)signal qout2:std_logic_vector(3 downto 0);signal qout3:std_logic_vector(3 downto 0);signal qout4:std_logic_vector(3 downto 0);signal qout5:std_logic_vector(3 downto 0);signal qout6:std_logic_vector(3 downto 0);signal op2: std_logic_vector(6 downto 0);--七段beginr1:cnt10 PORT MAP(bc1,co1,cl1,qout1,scout1);r2:cnt10 PORT MAP(scout1,co1,cl1,qout2,scout2);r3:cnt10 PORT MAP(scout2,co1,cl1,qout3,scout3);r4:cnt10 PORT MAP(scout3,co1,cl1,qout4,scout4);r5:cnt10 PORT MAP(scout4,co1,cl1,qout5,scout5);r6:cnt10 PORT MAP(scout5,co1,cl1,qout6,scout6);t1:seventranse PORT MAP(qout6,op2);process(co1)beginif (co1'event and co1='0') thenop<=op2&qout5&qout4&qout3&qout2&qout1;--结果显示end if;end process;end;--寄存器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity save isport(op1:in std_logic_vector(26 downto 0);ro1:in std_logic;eout:out std_logic_vector(26 downto 0));end entity;architecture art4 of save issignal temp:std_logic_vector(26 downto 0);beginprocess(ro1)beginif ro1'event and ro1='1'then --上升沿判断temp<=op1;end if;eout<=temp;end process;end art4;--十进制计数器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity cnt10 isport(clk2:in std_logic;en:in std_logic;clr:in std_logic;qout:out std_logic_vector(3 downto 0 );cout:out std_logic);end entity;architecture art3 of cnt10 issignal temp:std_logic_vector(3 downto 0 );beginprocess(clk2,en,clr)beginif (clr='1') then --重置时清0temp<="0000";elsif (en='1') thenif(clk2'event and clk2='1')then --上升沿判断进位if(temp="1001")thentemp<="0000";elsetemp<=temp+1;end if;end if;end if;end process;qout<=temp;cout<='1' when temp="1001" else '0';end art3;--分频模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity divide isport(clk1:in std_logic;cl:out std_logic;co:out std_logic;ro:out std_logic);end;architecture art1 of divide issignal preclk:std_logic;signal temp1:std_logic;signal temp2:std_logic;beginp1: process(clk1) --先进行2500分频variable count:integer range 0 to 2500;beginif clk1'event and clk1='1'thenif count=2499 thencount:=0;preclk<='1';else count:=count+1;preclk<='0';end if;end if;end process;p2: process(preclk) --依次二分频实现闸门beginif preclk'event and preclk='1'thentemp2<=not temp2;end if;end process;p3: process(temp2)variable count2:std_logic;beginif temp2'event and temp2='1'thencount2:=not count2;if count2='1'thenco<='1';temp1<='0';else co<='0';temp1<='1';end if;end if;end process;p4:process(temp2)beginif (temp1='1' and temp2='0') thencl<='1';elsecl<='0';end if;end process;ro<=temp1;end;--七段译码器模块library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity seventranse isport(clk6:in std_logic_vector(3 downto 0 );op2:out std_logic_vector(6 downto 0 ));end entity;architecture art5 of seventranse isbeginprocess(clk6)begincase clk6 iswhen "0000"=> op2<="1111110";when "0001"=> op2<="0110000";when "0010"=> op2<="1101101";when "0011"=> op2<="1111001";when "0100"=> op2<="0110011";when "0101"=> op2<="1011011";when "0110"=> op2<="1011111";when "0111"=> op2<="1110000";when others=> op2<="0000000";end case;end process;end art5;四、实验小结:实验要求用闸门信号控制计数器计时,于是在十进制计数器模块中添加使能信号en(en=‘1’计数器进行加1 计数,en=‘0’时计数器保持),将闸门信号作为使能信号接入,即可实现1s计数,1s显示。
数字电子电路课程设计报告——频率计
摘要在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法,如周期测频法。
直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。
本文阐述了用数字电路设计了一个简单的数字频率计的过程关键词:周期;数字频率计;波形仿真;目录一、课程设计目的 (2)二、设计任务与要求 (2)三、方案设计与论证 (3)四、单元电路设计与参数计算 (8)五、电路的安装与调试 (10)六、遇到问题的解决方法 (11)七、结论与心得 (11)八、参考文献 (12)数字频率计一、课程设计目的1)巩固和加深对或电子技术课程基本知识的理解,提高综合运用所学知识的能力。
2)提高独立解决工程实际问题的能力。
培养根据课题需要选用参考书、查阅手册、图表和文献资料的能力。
3)通过设计方案的分析比较、设计计算、元件选择及电路安装调试等环节,初步掌握简单实用电路的工程设计方法。
4)提高动手能力。
掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法。
5)能按课程设计任务书的要求编写设计说明书。
了解与课题有关的电路以及元器件的工程技术规范,能正确反映设计和实验成果,能正确绘制电路固等。
6)培养严肃认真的工作作风和科学态度。
通过课程设计实践,逐步建立正确的生产观点,经济观点,全局观点和安全用电、节约用电的观点。
二、设计任务与要求任务:设计并实现一个可以测量待测信号频率的数字显示的仪器要求和指标:1.测量范围 1Hz ~ 10kHz;2.分辨率1Hz;3.灵敏度 500mV;4.误差不大于 10-3。
三、方案设计与论证1、数字频率计的基本原理:频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。
频率计课程设计实验报告
课程设计报告课程设计名称:电子系统综合课程设计课程设计题目:频率计频率计课程设计实验报告一、设计任务要求1、根本要求:设计一个3位十进制数字显示的数字式频率计,其频率测量范围在1MHz内。
量程分别为10kHz,100kHz和1MHz三档,即最大读数分别为和999kHz。
这里要求量程可以自动转换,详细要求如下:1〕、当读数大于999时,频率计处于超量程状态,此时显示器发出溢出指示〔最高位显示F,其余各位不显示数字〕,下一次测量时,量程自动增大一档。
2〕、当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小一档。
3〕、采用记忆显示方式,即计数过程中不显示数据,待计数过程完毕以后,显示测频结果,并将此显示结果保持到下一次计数完毕,显示时间不小于1s。
4〕、小数点位置随量程变更自动移位。
二、设计方案1、系统功能〔根本功能和附加功能〕根本功能:显示待测频率,LED灯显示小数点,显示待测频率的量程。
附加功能:实现量程自由变化,通过拨码开关控制待测频率大小。
2、系统设计方案说明1、分频模块:由于测频时不同量程档需要不同的时基信号,分频模块是必不可少的。
系统通过试验箱给定的50MHZ的频率通过分频变成0.5HZ,即1秒钟得计数时间,通过1秒钟的记数时间里待测频率上升沿的数量实现频率测定,待测频率通过分频,多路器等实现各频率的测定2 计数模块:想要实现频率的测定,其实就是在1秒钟的计数时间里对待测频率信号上升沿进展计数,所以计数模块是不可缺少的,本计数器需输出指示超量程和欠量程状态的信号。
3 量程控制模块:对待测频率的量程进展判断,确定量程以后,根据不同的量程,在试验箱上显示,我们的设计是4个量程〔1,2,3量程和超量程〕。
:4 BCD译码模块:用到实验箱SOPC上的6个静态共阳数码管中的后三个数码管,并且试验箱内部有译码器,只需要输入4位数就可以在数码管上显示。
三、各模块程序如下:1、分频模块程序:1〕百分频模块程序:module plj(clk,dingshi);input clk;output dingshi;reg [40:0] counter;reg dingshi;always @(posedge clk)beginif (counter==49) //计数时钟上升沿数量,100次时钟周期begin //输出一周期信号,得到100分频信号。
频率计设计实验报告
频率计设计实验报告频率计设计实验报告智能09012009010993周红蕊一、设计任务设计一个频率计电路,要求有4位十进制数码显示,可测量函数发生器输出的信号频率。
1.可测量9.999KHZ以内的频率并显示。
2.4位数的任意脉冲计数和显示。
3.将灵敏度从100mv提高到5mv。
二、技术要求1. 电源电压:+5V。
2. 输入信号幅度范围:5m v~10 V。
3. 信号输入方式:交流输入。
4. 输入信号波形要求:任意波形周期信号、任意波形脉冲信号。
三、设计器材及仪器1.晶体振荡器。
2.电路芯片(4060、4518、4017、7414、74373、74247)。
3.数码管LA5011。
4.电阻(300、1K、2 K、2M)。
5.电容33pf。
6.稳压电源。
7.信号源。
8.万用表。
9.示波器。
四、频率计工作原理1.频率计工作原理简述频率计是实验室常用仪器之一,其基本工作原理如图6.6.1所示。
首先要有一个稳定而又准确的频率源,用它产生的频率信号经过分频电路后得到标准时间等于一秒的闸门信号,并在闸门信号的后沿由锁存清零控制器产生锁存信号使锁存器锁存数据、产生清零脉冲使计数器清零,以便下一次重新开始计数被测频率信号在闸门开启的一秒钟内可以通过闸门电路进入BCD码计数器进行计数,当闸门信号的后沿到来时计数器停止计数,同时在锁存信号的控制下将计数结果锁存在锁存器中,被锁存的数据经译码驱动电路译码后驱动数码显示电路。
图6.6.1 简易频率计原理框图2.电路分析1)限幅整形电路U i U o频率计的输入信号是各种各样的,既可能有正弦波、矩形波和三角波,也可能有各种周期的、非周期的脉冲波和奇异波。
无论什么波形,要计数准确,起码的条件是信号的信噪比必须足够大。
输入级阻抗要足够高,而且当信号幅度很高时输入级电路不能被烧毁。
因此在信号输入端应有一级高阻输入低噪声前置放大器和限幅器,并且应有一级整形电路,把各种输入信号变成比较规范的矩形波。
电子频率计课程设计
电子频率计 课程设计一、课程目标知识目标:1. 理解电子频率计的基本工作原理,掌握其组成结构和功能;2. 学会使用电子频率计进行频率测量,掌握相关数据处理方法;3. 了解电子频率计在实际应用中的使用场景,例如在电子通信、物联网等领域。
技能目标:1. 能够正确操作电子频率计,进行简单的频率测量实验;2. 能够分析实验数据,解决实际问题;3. 能够将电子频率计与其他电子设备结合使用,设计简单的电子系统。
情感态度价值观目标:1. 培养学生对电子技术课程的兴趣,激发学习热情;2. 培养学生的动手能力和团队合作精神,增强实践操作能力;3. 培养学生严谨的科学态度,提高学生对实验数据的分析处理能力。
本课程针对高年级学生,课程性质为理论联系实际的实践课程。
考虑到学生的认知水平和动手能力,课程设计以实践操作为主,理论知识为辅。
通过本课程的学习,使学生能够掌握电子频率计的基本原理和操作方法,提高学生的实际应用能力,培养学生在电子技术领域的兴趣和素养。
课程目标分解为具体学习成果,以便于教学设计和评估。
二、教学内容1. 电子频率计基本原理:介绍频率计的工作原理,包括计数原理、时间间隔测量等;相关教材章节:第三章第二节“电子计数器的工作原理”2. 电子频率计的组成结构:分析电子频率计的各个组成部分,如输入级、放大器、计数器、显示等;相关教材章节:第三章第三节“电子计数器的组成结构”3. 电子频率计操作方法:详细讲解电子频率计的操作步骤,包括仪器的连接、设置、测量及数据处理;相关教材章节:第三章第四节“电子计数器的使用方法”4. 实际应用案例分析:分析电子频率计在通信、物联网等领域的应用实例;相关教材章节:第三章第五节“电子计数器的应用”5. 实践操作:安排学生进行电子频率计的实践操作,包括简单频率测量实验、数据分析和问题解决;相关教材章节:第三章实践操作部分6. 课程总结与拓展:对本章内容进行总结,引导学生探讨电子频率计在其他领域的应用,激发学生创新意识。
电子频率计课程设计protel
电子频率计课程设计protel一、教学目标本节课的教学目标是让学生掌握Protel软件在电子频率计设计中的应用。
通过本节课的学习,学生将能够熟练使用Protel软件,设计出符合要求的电子频率计电路图,并进行PCB制作。
1.理解电子频率计的原理和组成。
2.熟悉Protel软件的基本操作和功能。
3.掌握电子频率计电路图的设计方法和步骤。
4.能够熟练使用Protel软件绘制电子频率计电路图。
5.能够根据电路图进行PCB制作,并完成电子频率计的组装。
情感态度价值观目标:1.培养学生的创新意识和动手能力,提高他们对电子技术的兴趣。
2.培养学生团队合作精神,提高他们解决实际问题的能力。
二、教学内容本节课的教学内容主要包括以下几个部分:1.电子频率计的原理和组成:介绍电子频率计的工作原理,以及其主要组成部分的功能和作用。
2.Protel软件的基本操作:讲解Protel软件的界面布局,以及如何进行电路图的绘制和编辑。
3.电子频率计电路图的设计:引导学生学习如何根据电子频率计的原理和要求,使用Protel软件绘制电路图。
4.PCB制作:讲解如何将电路图转换为PCB,并进行布线、覆铜等操作。
5.电子频率计的组装和调试:指导学生进行电子频率计的组装,并进行调试,确保其正常工作。
三、教学方法为了提高学生的学习兴趣和主动性,本节课将采用多种教学方法相结合的方式进行教学。
1.讲授法:讲解电子频率计的原理和组成,以及Protel软件的基本操作。
2.案例分析法:通过分析具体案例,引导学生学习电子频率计电路图的设计方法和步骤。
3.实验法:让学生动手操作,进行电子频率计的电路图设计、PCB制作和组装调试。
4.讨论法:学生进行小组讨论,分享学习心得和经验,互相学习和进步。
四、教学资源为了支持本节课的教学内容和教学方法的实施,我们将准备以下教学资源:1.教材:提供关于电子频率计设计和Protel软件使用的相关教材,供学生参考。
2.多媒体资料:制作PPT和视频等多媒体资料,帮助学生更好地理解和掌握教学内容。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
物理与电子工程学院课程设计题目:简易频率计专业班级学号学生姓名指导教师数字频率计数器电子工程师经常需要测量频率、时间间隔、相位和对事件计数,精确的测量离不开频率计数器或它的同类产品,如电子计数器和时间间隔分析仪。
频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。
其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。
频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。
在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。
主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。
在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。
衡量频率计数器主要指标是测量范围、测量功能、精度和稳定性,这些也是决定价格高低的主要依据。
关键词:频率计;数码管;锁存器;计数器;定时器1课程设计目的 (1)2课程设计的指标 (1)3课程设计报告内容 (1)3.1设计方案的选定与说明 (1)3.1.1方案的设计与论证 (2)3.2论述方案各部分工作原理 (3)3.2.1时基电路 (3)3.2.2计数器 (5)3.2.3锁存器 (6)3.3设计方案的图表 (7)3.3.1设计原理图 (7)3.4编写设计说明书 (8)3.4.1设计说明 (8)3.4.2性能技术指标与分析 (9)4仿真结果 (10)5总结 (11)参考文献 (12)附录 (13)附录A 元器件清单 (13)附录B 设计电路 (13)1课程设计目的1)掌握中、小规模集成电路设计与制作的方法。
2)进一步培养学生对数字电路的综合应用能力和设计能力。
3)熟悉并掌握Multisim软件。
4)通过查阅手册和文献资料,培养独立分析和解决实际问题的能力。
2课程设计的指标频率计技术指标:频率测量范围:1~9999Hz输入电压幅度:300mV~3V输入信号波形:任意周期信号显示位数: 4位电源: 5V3课程设计报告内容3.1设计方案的选定与说明数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。
3.1.1方案的设计与论证交流电信号或脉冲信号的频率是指单位时间内产生的电振动的次数或脉冲个数。
用数学模型可表示为:Nf=t式中f——频率。
N——电振动次数或脉冲数。
t——产生N次电振动或脉冲所需要的时间。
首先必须把各种被测信号通过放大整形电路,使其成为规矩的数字信号,以便于计数器计数。
实现频率测量的另一必备环节是时基电路。
所谓时基电路,就是产生时间标准信号的电路装置。
通常要求精确稳定,所以采用1MHz或5MHz石英晶体振荡器做成标准时间信号发生器。
一般计数器则采用十位计数器,N进制的计数器也就是N分频器,其N进位信号也可作为N分频信号。
如图3.1所示为数字频率计系统原理总框图,被测量信号经过放大与整形电路传入十进制计数器,变成其所要求的信号,此时数字频率计与被测信号的频率相同,时基电路提供标准时间基准信号,此时利用所获得的基准信号来触发控制电路,进而得到一定宽度的闸门信号,当1s信号传入时,闸门开通,被测量的脉冲信号通过闸门,其计数器开始计数,当1s信号结束时闸门关闭,停止计数。
根据公式得被测信号的频率f=NHz。
图3.1 数字频率计数器原理框图逻辑控制电路的一个重要的作用是在每次采样后还要封锁主控门和时基信号输入,使计数器显示的数字停留一段时间,以便观测和读取数据。
简而言之,控制电路的任务就是打开主控门计数,关上主控门显示,然后清零,这个过程不断重复进行。
控制电路如图3.2所示:图3.2 逻辑控制电路3.2论述方案各部分工作原理3.2.1时基电路为了获得较为稳定的时间基准信号,以便准确的控制主控门的开启时间,其电路见图3.3所示:图3.3 时基电路本设计采取用555定时器组成的多谐振荡器如图3.3所示。
接通电源后,电容被充电,当C v 上升到32CCV 时,使O v 为低电平,同时放电三极管T 导通,此时电容C 通过2R 和T 放电,C v 下降。
当C v 下降到3CCV 时,O v 翻转为高电平。
电容器C 放电所需的时间为C R C R t pL 227.02ln ≈=当放电结束时,T 截止,CC V 将通过1R 、2R 向电容C 充电,C v 由3CCV 上升到32CCV 所需的时间为 C R R C R R t pH )(7.02ln )(2121+≈+=当C v 上升到32CCV 时,电路又翻转为低电平。
如此周而复始,于是在电路的输出端就得到一个周期性的矩形波。
其振荡频率为CR R t t f pH pL)2(43.1121+≈+=3.2.2计数器图3.4计数器为了提高计数速度,可采用同步四位十进制计数器。
其特点是计数脉冲作为时钟信号同时接于各位触发器的时钟脉冲输入端,在每次时钟脉冲沿到来之前,根据当前计数器状态,利用逻辑控制电路,准备好适当的条件。
当计数脉冲沿到来时,所有应翻转的触发器同时翻转,同时也使用所有应保持原状的触发器不该变状态。
由于频率计的测量范围10~9999Hz,因此采用十进制计数器74LS160,它不仅可用于对脉冲进行计数,还可用于分频;此电路则需分频,N位进制计数器就是N分频器。
被测信号由闸门开通送入计数器,记录所测信号频率值传入译码显示电路中,显示器显示测得频率值;待闸门关闭,计数器停止工作;电路则继续工作进行下次循环,计数器清零,显示器数值消失,频率计完成一次测量。
数字频率计测周期基本原理如图3.6所示图3.5数字频率计测周期基本原理图当被测信号的频率较低时,采用直接测频方法由量程误差一起的测量误差太大,为了提高测低频时的准确度,应先测周期X T ,然后计算Xx T f 1=。
被测信号经过放大整形电路变成方波,加到门控电路产生闸门信号,如ms T X 10=,则闸门打开的时间也为10ms ,在此期间内,周期为S T 的标准脉冲通过闸门进入计数器计数。
以上分析可见,频率计测周期的基本原理正好与测频相反,即被测信号用来控制闸门电路的开通与关闭,标准时基信号作为计数脉冲。
3.2.3 锁存器锁存器是构成各种时序电路的存储单元电路,其具有0和1两种稳定状态,一旦状态被确定,就能自行保持,锁存器是一种脉冲电平敏感的存储单元电路,它们可以在特定输入脉冲电平作用下改变状态。
在确定的时间内计数器的技术结果必须经锁定后才能获得稳定的显示值。
锁存器的作用是通过触发脉冲控制,将测量的数据寄存起来,送入译码显示器。
锁存器可以采用一般的8位并行输入寄存器。
此电路采用74LS373锁存器,其作用是将计数器在1s结束时锁记得的数进行锁存,使显示器上能稳定地显示此时计数器的值。
当1s计数结束时,通过逻辑电路产生信号送入锁存器,将此时计数的值送入译码显示器。
选用两个8位锁存器74LS373可以完成上计数功能。
当时钟脉冲CP的正跳变来到时,锁存器的输入等于输入,即Q=D,从而将计数器的输出值送到锁存器的输出端正脉冲结束后,无论D为何值,输出端Q的状态仍保持原来的状态的Q 不变。
所以在计数期间内,计数器的输出不会送到译码显示器。
图3.6锁存器和数码管3.3设计方案的图表3.3.1设计原理图根据系统框图,方案论证,设计数字频率计系统原理图如下图3.8所示。
在多谐振荡器中,电路从暂稳态过渡带另一个状态,其“触发”信号是由电路内部电容充(放)电提供的,因此无需外部触发脉冲。
暂稳态持续的时间是脉冲电路的主要参数,它与电路的阻容原件取值有关。
电路中RC电路充、放电过程对相应门输入电平的影响是分析电路的关键。
图中根据课题要求,电路采用555定时器组成的多谐振荡器,为获得较为稳定的时间基准信号,用来准确的控制主控门的开启时间。
计数器是最常用的时序电路之一,计数器的种类不胜枚举,按触发器动作分类,可分为同步计数器和异步计数器;按计数数值增减分类,可分为加计数器、减计数器和可逆计数器;按编码分类,又可分为二进制码计数器、BCD码技术区、循环码计数器。
此设计采用十进制计数器进行计数。
通过时基选择开关,将所选用的时基信号作为控制电路的触发信号(用8位寄存器,实际上就是触发器构成的计数器,它可以循环位移一个1电平,也可以循环位移一个0电平),再将信号传入逻辑控制电路中,控制电路输出接往主控门,该输出端仅在所选时间基准内维持高电平,使主控门开启,被测信号在采样时间内通过主控门,进入十进制计数器计数,计数器数值由数字显示器在数字频率计面板上显示出来。
此即为所测信号之频率值。
图3.8 数字频率计原理图3.4编写设计说明书3.4.1设计说明(1)接通电源后,用示波器观察时基电路的输出波形,其中t 1=1s,t 2=0.25s ,否则重新调节时基电路中的R 1 和R 2,使其满足要求,然后,改变示波器的扫描速率旋钮,观察波形。
其真值表如下表3.9所示表3.1 74LS123真值表(2)将3计数器74LS160部接低电平,锁存器74LS373都接时钟脉冲,有各位计数器的引脚加入计数脉冲,检查4位锁存,译码,显示器的工作是否正常。
(3)有放大电路输入端加入KHz f 1=,V V 1=的正弦信号,用示波器观察放大电路和整形电路的输出波形,应为与被测信号同频率的脉冲波,显示器上的读数应为1000Hz 。
3.4.2 性能技术指标与分析 (1)频率准确度一般用相对误差来表示,即⎪⎪⎭⎫ ⎝⎛∆+±=∆cc xx x f f Tf f f 1 式中NN N Tf x 11±=∆=为量化误差(即±1个字误差),是数字仪器所特有的误差,当闸门时间T 选定后,x f 越低,量化误差越大;TTf f c c ∆=∆为闸门时间相对误差,主要由时基电路标准频率的准确度决定,xc c Tf f f 1〈〈∆。
(2)频率测量范围及各电路的测试在输入电压符合规定要求值时,能正常进行测量的频率区间称为频率测量范围。
频率测量范围主要由放大整形电路的频率响应决定。
①时基电路测试:在通直流时,用示波器测555电路引脚和输出波形图,引脚的波形应如图3.2所示波形II,调节电位器RP,使t1=1s,t2=0.25s,引脚的输出波形应为电容不断充放电的过程。