多功能数字钟数电课设

合集下载

数字电子技术课程设计--多功能数字钟

数字电子技术课程设计--多功能数字钟

目录第一章设计任务 (4)1.1 设计题目及要求 (4)1.1.1 题目 (4)1.1.2 设计要求 (4)第二章方案设计 (5)2.1 总体方案说明 (5)2.2 模块结构以及总体方框图 (5)第三章单元电路设计与原理说明 (6)3.1 按键消抖电路的设计 (6)3.1.1 RS触发器消抖 (6)3.2 分频器的设计 (7)3.2.2 1000分频器 (7)3.3基础电子钟及其显示设计 (8)3.3.1 时钟计数器 (8)3.3.2 功能选择及校准 (9)3.4整点报时器的设计 (10)3.5数码管显示切换电路的设计 (11)3.6 闹钟及其显示的设计 (12)第四章整机电路图及说明 .............................................................. 错误!未定义书签。

4.1整体电路图及说明................................................................................ 错误!未定义书签。

第五章电路仿真 (15)5.1基本时钟电路及其时间设置功能仿真 ................................................ 错误!未定义书签。

5.1.1基本计时功能的仿真............................................................. 错误!未定义书签。

5.1.2时间设置功能的仿真............................................................. 错误!未定义书签。

5.2整点报时功能的仿真............................................................................ 错误!未定义书签。

数电课设-数字钟

数电课设-数字钟

数字钟一.基本功能1、设计一个数字钟,能够显示当前时间,分别用6个数码管显示小时、分钟、秒钟的时间,秒针的计数频率为1Hz,可由系统脉冲分频得到。

2、在整点进行提示,可通过LED闪烁实现,闪烁频率及花型可自己设计。

3、能够调整小时和分钟的时间,调整的形式为通过按键进行累加。

4、具有闹钟功能,闹钟时间可以任意设定(设定的形式同样为通过按键累加),并且在设定的时间能够进行提示,提示同样可以由LED闪烁实现。

二.扩展功能1、设计模式选择计数器,通过计数器来控制各个功能之间转换。

2、调整当前时间以及闹钟时间,在按键累加的功能不变的基础上,增加一个功能,即当按住累加键超过3秒,时间能够以4Hz的频率累加。

3、用LCD液晶屏来显示当前时间及功能模式。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clock isport(clk: in std_logic; --27M晶振key3,key2,key0: in std_logic:='1'; --时、分、模式按钮,下降沿触发ledg: o ut std_logic_vector(2 downto 0):="000"; --整点提示ledr: out std_logic_vector(2 downto 0):="000"; --闹铃hex7,hex6,hex5,hex4,hex3,hex2,hex0,hex1: out std_logic_vector(6 downto 0) --数码管显示);end;architecture a of clock issignal x: integer range 1 to 13500000:=1; --记27M的上升沿个数signal clka: std_logic; --1HZsignal temp1,temp2,temp3,temp4,temp5,temp6: std_logic_vector(3 downto 0):="0000"; --时分秒走时signal xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6:std_logic_vector(3 downto 0):="0000"; --数码管显示signal temp0: std_logic_vector(1 downto 0):="00"; --模式显示signal tfen1,tfen2,tshi1,tshi2,nfen1,nfen2,nshi1,nshi2: std_logic_vector(3 downto 0); --调时和闹铃时的分、时的个位和十位signal naoling1,naoling2,naoling3,naoling4: std_logic_vector(3 downto 0); --闹铃调时时的显示begin--分频,产生1HZ的时钟process(clk)beginif clk'event and clk='1' thenx<=x+1;if x=13500000 thenclka<=not clka; --27M每13500000个上升沿clka取反x<=1;end if;end if;end process;--模式选择器,用按键控制,有0、1、2 三种模式process(key0)beginif key0'event and key0='0' thenif temp0="10" then --模式2时,再按键则进入模式0temp0<="00";elsetemp0<=temp0+1;end if;end if;end process;--模式用数码管显示process(temp0)begincase temp0 iswhen "00" => hex0<="1000000";--显示0when "01" => hex0<="1111001";--显示1when "10" => hex0<="0100100";--显示2when others => hex0<="0000000";--显示全亮end case;end process;--模式1时,调时,调节时钟的分process(key2,temp0)beginif temp0="01" thenif key2'event and key2='0' thenif tfen1="1001" then --个位到9,十位加1if tfen2="0101" then --加到59,则归零tfen1<="0000";tfen2<="0000";elsetfen2<=tfen2+1;tfen1<="0000";end if;elsetfen1<=tfen1+1;end if;end if;end if;end process;--模式1时,调时,调节时钟的时process(key3,temp0)beginif temp0="01" thenif key3'event and key3='0' thenif tshi1="1001" then ----个位到9,十位加1tshi1<="0000";tshi2<=tshi2+1;elsif tshi1="0011" and tshi2="0010" then --到23,则归零tshi1<="0000";tshi2<="0000";elsetshi1<=tshi1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的分process(key2,temp0)beginif temp0="10" thenif key2'event and key2='0' thenif nfen1="1001" then ----个位到9,十位加1if nfen2="0101" then --加到59,则归零nfen1<="0000";nfen2<="0000";elsenfen2<=nfen2+1;nfen1<="0000";end if;elsenfen1<=nfen1+1;end if;end if;end if;end process;--模式2时,设定闹铃,设定时钟的时process(key3,temp0)beginif temp0="10" thenif key3'event and key3='0' thenif nshi1="1001" then ----个位到9,十位加1nshi1<="0000";nshi2<=nshi2+1;elsif nshi1="0011" and nshi2="0010" then --到23,则归零nshi1<="0000";nshi2<="0000";elsenshi1<=nshi1+1;end if;end if;end if;end process;--三种模式间的显示及传递process(clka,temp0)beginif temp0="01" then --模式1时,传递调时的时,分temp3<=tfen1;temp4<=tfen2;temp5<=tshi1;temp6<=tshi2;xianshi3<=temp3; --模式1时,显示时,分xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;elsif temp0="10" then --模式2时,传递闹铃的时,分naoling1<=nfen1;naoling2<=nfen2;naoling3<=nshi1;naoling4<=nshi2;xianshi3<=naoling1; --模式2时,显示闹铃的时,分xianshi4<=naoling2;xianshi5<=naoling3;xianshi6<=naoling4;elsifclka'event and clka='1' then --正常走时,即temp0=00if temp1="1001" then --秒的个位到9,十位加1if temp2="0101" then --秒到59,则归零,分的个位加1temp1<="0000";temp2<="0000";temp3<=temp3+1;if temp3="1001" then --分的个位到9,十位加1if temp4="0101" then --分到59,则归零,时的个位加1temp3<="0000";temp4<="0000";temp5<=temp5+1;if temp5="1001" then --时的个位到9,十位加1temp5<="0000";temp6<=temp6+1;elsif temp5="0011" and temp6="0010" then --时到23,则归零temp5<="0000";temp6<="0000";end if;elsetemp3<="0000";temp4<=temp4+1;end if;elsetemp3<=temp3+1;end if;elsetemp1<="0000";temp2<=temp2+1;end if;elsetemp1<=temp1+1;end if;----到设置的闹铃时则ledr(0--2)三个灯亮,一分钟后熄灭if temp3=naoling1 and temp4=naoling2 and temp5=naoling3 and temp6=naoling4 thenledr<="111";elseledr<="000";end if;----到整点时时则ledg(0--2)三个灯亮,一分钟后熄灭if temp3="0000" and temp4="0000" thenledg<="111";elseledg<="000";end if;--将走时传递给显示译码xianshi1<=temp1;xianshi2<=temp2;xianshi3<=temp3;xianshi4<=temp4;xianshi5<=temp5;xianshi6<=temp6;end if;end process;----数码管显示译码process(xianshi1,xianshi2,xianshi3,xianshi4,xianshi5,xianshi6) begincase xianshi1 iswhen "0000" => hex2<="1000000";when "0001" => hex2<="1111001";when "0010" => hex2<="0100100";when "0011" => hex2<="0110000";when "0100" => hex2<="0011001";when "0101" => hex2<="0010010";when "0110" => hex2<="0000010";when "0111" => hex2<="1111000";when "1000" => hex2<="0000000";when "1001" => hex2<="0010000";when others => hex2<="1000000";end case;case xianshi2 iswhen "0000" => hex3<="1000000";when "0001" => hex3<="1111001";when "0010" => hex3<="0100100";when "0011" => hex3<="0110000";when "0100" => hex3<="0011001";when "0101" => hex3<="0010010";when others => hex3<="1000000";end case;case xianshi3 iswhen "0000" => hex4<="1000000";when "0001" => hex4<="1111001";when "0010" => hex4<="0100100";when "0011" => hex4<="0110000";when "0100" => hex4<="0011001";when "0101" => hex4<="0010010";when "0110" => hex4<="0000010";when "0111" => hex4<="1111000";when "1000" => hex4<="0000000";when "1001" => hex4<="0010000";when others => hex4<="1000000";end case;case xianshi4 iswhen "0000" => hex5<="1000000";when "0001" => hex5<="1111001";when "0010" => hex5<="0100100";when "0011" => hex5<="0110000";when "0100" => hex5<="0011001";when "0101" => hex5<="0010010";when others => hex5<="1000000";end case;case xianshi5 iswhen "0000" => hex6<="1000000";when "0001" => hex6<="1111001";when "0010" => hex6<="0100100";when "0011" => hex6<="0110000";when "0100" => hex6<="0011001";when "0101" => hex6<="0010010";when "0110" => hex6<="0000010";when "0111" => hex6<="1111000";when "1000" => hex6<="0000000";when "1001" => hex6<="0010000";when others => hex6<="1000000";end case;case xianshi6 iswhen "0000" => hex7<="1000000";when "0001" => hex7<="1111001";when "0010" => hex7<="0100100";when others => hex7<="1000000";end case;hex1<="1111111"; ---关闭hex1数码管end process;end;。

多功能数字钟数电课程设计实验报告

多功能数字钟数电课程设计实验报告

(数电课程设计)实验报告(理工类)2021 至2021 学年度第二学期课程名称多功能数字钟电路设计系别班级电气系11级电子信息工程一班指导教师周旭胜学号姓名耿王鑫1一、谷和伟12贺焕13、黄兴荣14解军1五、井波16李丰17、李小飞18梁富慧19目录一、设计要求及任务 ...................................................................................... 错误!未定义书签。

二、系统设计方案 ........................................................................................ 错误!未定义书签。

三、器件选择 ................................................................................................ 错误!未定义书签。

1、74LS160............................................................................................... 错误!未定义书签。

2、74LS107............................................................................................... 错误!未定义书签。

3、74LS90................................................................................................. 错误!未定义书签。

显示屏....................................................................................................... 错误!未定义书签。

多功能数字钟(课程设计版)

多功能数字钟(课程设计版)

》题目: 多功能数字钟电路设计器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线要求完成的主要任务:用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下:1.由晶振电路产生1HZ标准秒信号。

2.秒、分为00-59六十进制计数器。

:3.时为00-23二十四进制计数器。

4.可手动校正:能分别进行秒、分、时的校正。

只要将开关置于手动位置。

可分别对秒、分、时进行连续脉冲输入调整。

5.整点报时。

整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。

时间安排:指导教师签名:年月日、系主任(或责任教师)签名:年月日索引摘要........................................................ 错误!未定义书签。

Abstract .................................................... 错误!未定义书签。

—1系统原理框图.............................................. 错误!未定义书签。

2方案设计与论证............................................ 错误!未定义书签。

时间脉冲产生电路........................................ 错误!未定义书签。

分频器电路.............................................. 错误!未定义书签。

时间计数器电路.......................................... 错误!未定义书签。

译码驱动及显示单元电路.................................. 错误!未定义书签。

多功能数字钟课程设计报告

多功能数字钟课程设计报告

《数电》课程设计多功能数字钟作者彭家乐班级 10自动化学号 101103004 老师丁电宽日期 2011年12月21日多功能数字钟课程设计一、设计目的1.掌握数字电路系统的设计方法、装调技术及数字钟的及功能扩展电路的设计。

2.熟练、合理的选用集成电路器件。

3.熟悉Multisim10.0软件的使用。

4.熟悉数字钟原理组成中的组合逻辑电路和时序电路。

二、设计要求1.以一昼夜24小时为一个计数周期准时报时,以数字形式显示时、分、秒的时间。

2.校正时间。

3.具有整点报时功能,要求整点前鸣叫五次低音(500HZ左右),整点时再鸣叫一次高音(1000HZ左右),共鸣叫6响,两次鸣叫的间隔为1秒。

4.电路组要采用TTL集成电路,尽可能简化电路,选用同类型的器件,在Multisim10.0电子工作平台上进行电路的设计和仿真。

三、设计原理1.系统工作原理:振荡器产生的稳定的高频脉冲信号,作为数字钟的时间基准,再经分频器输出标准秒脉冲。

秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。

计数器的输出经译码器送数码管显示器。

计时出现误差时可以用校时电路进行校时、较分、较秒。

数字钟电路系统的组成框图:四、数字钟单元电路设计1.振荡器的设计振荡器是数字钟核心,振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。

一般来说,振荡器的频率越高,计时精度越高。

此次实验我用的是由集成电路定时器NE555和RC组成的多谐振荡器。

图2 振荡器电路2.分频器的设计分频器的功能主要有两个:一是产生标准秒脉冲信号;而是提供功能拓展电路所需要的信号。

此次实验用的是二—五—十进制异步计数器74LS90,每片为10分频,3片级联则获得所需要的频率信号:第1片的Q0端输出频率为500HZ,第2片的Q3输出频率为10HZ,第3片的Q3端输出频率为1HZ.3、校时电路设计校时电路的作用是当计时器刚接通电源或走时出现误差时,进行时间的校准。

多功能数字时钟课程设计

多功能数字时钟课程设计

多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本构成,掌握时、分、秒的概念及其相互关系。

2. 学生能运用所学知识,分析多功能数字时钟的显示原理和编程逻辑。

3. 学生掌握基本的数字逻辑运算,并能将其应用于时钟设计中。

技能目标:1. 学生能通过实际操作,学会使用编程软件进行数字时钟的设计与编程。

2. 学生能够运用问题解决策略,调试并优化数字时钟程序,提高程序运行效率。

3. 学生能够运用所学知识,创作具有个性化功能的数字时钟,培养创新意识和实践能力。

情感态度价值观目标:1. 学生在学习过程中,培养对信息技术学科的兴趣,激发学习热情。

2. 学生通过团队协作,培养沟通、交流和合作的能力,增强团队意识。

3. 学生通过解决实际问题,体会科技改变生活的魅力,增强社会责任感和使命感。

课程性质:本课程为信息技术学科,结合学生年级特点,注重理论与实践相结合,培养学生的动手操作能力和创新思维。

学生特点:学生具备一定的信息技术基础,好奇心强,喜欢动手操作,但逻辑思维和问题解决能力有待提高。

教学要求:教师应关注学生的个体差异,提供有针对性的指导,引导学生通过自主学习、合作探究和实践活动,达到课程目标,提高学生的信息技术素养。

二、教学内容1. 数字时钟基础知识:时钟的演变、数字时钟的构成、时、分、秒的概念及其进制关系。

教材章节:第一章 认识数字时钟2. 数字时钟显示原理:LED显示技术、点阵显示原理、数字时钟显示编程。

教材章节:第二章 数字时钟显示技术3. 数字时钟编程基础:基本逻辑运算、程序流程控制、函数的运用。

教材章节:第三章 数字时钟编程基础4. 多功能数字时钟设计与实现:设计思路、编程实践、调试与优化。

教材章节:第四章 多功能数字时钟设计与实现5. 创新实践:个性化数字时钟设计、功能拓展、作品展示。

教材章节:第五章 创新实践与作品展示教学进度安排:1. 数字时钟基础知识(1课时)2. 数字时钟显示原理(2课时)3. 数字时钟编程基础(3课时)4. 多功能数字时钟设计与实现(4课时)5. 创新实践(2课时)教学内容科学系统,注重理论与实践相结合,引导学生通过自主学习、合作探究和实践操作,掌握数字时钟的设计与编程,培养学生的创新能力和信息技术素养。

eda多功能数字时钟课程设计

eda多功能数字时钟课程设计

eda多功能数字时钟课程设计一、课程目标知识目标:1. 学生能理解数字时钟的基本原理,掌握EDA工具的使用方法,并运用相关电路知识设计多功能数字时钟。

2. 学生能够运用所学知识,分析并解释数字时钟电路中各个部分的功能及其相互关系。

3. 学生了解数字时钟在实际生活中的应用,理解其重要性。

技能目标:1. 学生能够运用EDA工具进行电路设计,具备实际操作能力。

2. 学生通过动手实践,培养解决实际问题的能力,提高创新意识和团队协作能力。

3. 学生能够运用所学知识,对数字时钟电路进行调试和优化。

情感态度价值观目标:1. 学生在学习过程中,培养对电子技术的兴趣,激发创新精神。

2. 学生通过团队合作,学会尊重他人,培养良好的沟通能力和团队精神。

3. 学生认识到科技发展对社会进步的重要性,树立正确的价值观。

课程性质:本课程为实践性较强的课程,结合理论教学,注重培养学生的动手能力和实际操作技能。

学生特点:学生具备一定的电子技术基础知识,对实践操作有较高的兴趣。

教学要求:教师需结合理论教学,指导学生进行实践操作,注重启发式教学,引导学生主动探究,提高学生的综合能力。

在教学过程中,关注学生的学习进度,及时调整教学策略,确保课程目标的实现。

通过课程学习,使学生能够将所学知识应用于实际生活中,提高学生的创新意识和实践能力。

二、教学内容本课程教学内容主要包括以下几部分:1. 数字时钟原理:讲解数字时钟的基本工作原理,包括时钟信号、计数器、显示驱动等组成部分。

2. EDA工具使用:介绍EDA工具的基本操作,如原理图绘制、电路仿真、PCB设计等。

3. 电路设计与实现:指导学生运用EDA工具设计多功能数字时钟电路,包括时钟信号电路、分频器、计数器、显示驱动和按键控制等模块。

4. 电路调试与优化:教授学生如何对设计的数字时钟电路进行调试,找出问题并进行优化。

教学内容与教材关联性如下:1. 《电子技术基础》中关于数字电路的基础知识,为理解数字时钟原理提供理论支持。

多功能数字钟电路课程设计

多功能数字钟电路课程设计

课程设计任务书学生姓名:曾豪专业班级:自动化1105指导教师:龚跃玲工作单位:自动化学院1、题目:数字钟任务:设计一个数字钟电路。

要求:1) 能按时钟功能进行小时、分钟、秒计时;2)可显示时间并具有校时功能;3)具有整点报时功能,报时声响为四低一高,最后一响正好为整点;4)时钟有闹铃功能5)时钟有秒表功能6)对设计电路进行仿真。

2、初始条件1.实验室提供万用表、信号发生器、直流稳压电源、示波器等设备。

2.学生已学习了大学基础课程和《电路》、《模拟电子技术》、《数字电子技术》、《电力电子变流技术》等专业基础课程。

3.主要参考文献1)《新编电子电路大全》第1、2、3、4卷中国计量出版社2)《传感器及其应用电路》何希才编著电子工业出版社3)《电力电子变流技术》黄俊王兆安编机械工业出版社4)《集成电路速查手册》王新贤主编山东科学技术出版社5)《集成电路速查大全》尹雪飞陈克安编西安电子科技大学出版社6)《晶体二极管手册》各种版本皆可3、要求完成的主要任务1.课程设计结束时每个学生要交一份按统一格式要求撰写的课程设计说明书,并装订成册。

2.课程设计说明书中每个题目要求有方案比较、绘制方框图、电原理图,阐述电路工作原理、每个元器件的主要参数、设计电路的性能指标及电路仿真效果图等。

3.说明书中除个人签名外,其它文字、符号、图形或表格一律用计算机打印。

4.文字、符号、图形等必须符合国家标准。

5.独立完成设计任务,严禁相互抄袭。

4、时间安排设计时间为二周(6月17日—7月2日),安排如下:1.6月17日上午,指导教师讲授课程设计的有关基本知识等。

2.6月17日下午——6月24日学生查阅资料,完成初步设计。

3.6月25日——6月26日检查设计进度,答疑、质疑。

4.6月27日——6月30日完善设计,形成设计说明书电子文档。

4.7月1日——7月2日课程设计打印、装订、提交。

指导老师签名: 2013 年 6 月 14 日系主任(或负责教师)签名: 2013 年月日目录摘要 (Ⅰ)绪论 (1)1 设计方案的比较和确定..................................... 错误!未定义书签。

多功能数据钟课程设计

多功能数据钟课程设计

多功能数据钟课程设计一、课程目标知识目标:1. 学生能理解并掌握多功能数据钟的基本组成部分及其工作原理;2. 学生能够运用所学知识,设计并制作一个简单的多功能数据钟;3. 学生能够理解并运用时间单位、时间计算等基本概念。

技能目标:1. 学生能够运用编程软件和电子元件,实现数据钟的基本功能;2. 学生通过实际操作,提高动手能力和问题解决能力;3. 学生能够进行团队协作,提高沟通和协作能力。

情感态度价值观目标:1. 学生培养对电子制作和编程的兴趣,激发创新意识;2. 学生在实践过程中,体会团队合作的重要性,培养团队精神;3. 学生通过学习,认识到科技与生活的紧密联系,增强学以致用的意识。

分析课程性质、学生特点和教学要求,本课程将目标分解为以下具体学习成果:1. 学生能够独立完成多功能数据钟的设计和制作;2. 学生能够通过编程实现数据钟的基本功能,如显示时间、闹钟提醒等;3. 学生能够对作品进行测试、优化,提高其稳定性和实用性;4. 学生在课程结束后,能够对所学知识进行总结,并进行展示和分享。

二、教学内容本章节依据课程目标,选择以下教学内容:1. 多功能数据钟的基本原理:- 认识数据钟的硬件组成,如时钟芯片、显示屏幕等;- 了解数据钟的工作原理,包括时间同步、闹钟设置等。

2. 电子元件的使用和编程:- 学习并掌握常用电子元件的连接和使用方法;- 学习编程软件的使用,如Arduino或Micro:bit,编写控制程序。

3. 时间单位与时间计算:- 掌握时间单位的概念,如时、分、秒;- 学习时间计算的基本方法,应用于数据钟的功能实现。

4. 实践操作:- 设计并制作多功能数据钟,包括硬件连接和程序编写;- 测试并优化作品,确保其稳定性和实用性。

教学大纲安排如下:第一课时:介绍多功能数据钟的基本原理和硬件组成;第二课时:学习电子元件的连接和使用方法;第三课时:编程软件的使用,编写数据钟基础程序;第四课时:实现数据钟的基本功能,如显示时间;第五课时:时间计算方法的学习,实现闹钟功能;第六课时:测试、优化作品,进行展示和分享。

数电多功能电子时钟课程设计综述

数电多功能电子时钟课程设计综述

电子技术课程设计题目名称多功能电子时钟设一、设计题目:多功能数字钟电路设计二、设计任务和要求:1. 以十进制数字形式显示时、分、秒的时间。

2.小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。

3. 能实现手动快速校时、校分;4. 具有整点报时功能,报时声响为四低一高,最后一响为整点。

5. 具有定制控制(定小时)的闹钟功能。

6. 画出完整的电路原理图三、题目分析或内容摘要数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。

脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。

与传统的机械钟相比,数字钟具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。

小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。

四、整体构思或方案选择:数字钟电路系统由主体电路和扩展电路两大部分组成。

其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能:报时和定时功能。

该数字钟系统的工作原理是:振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。

秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。

计数器的输出分别经译码器送显示器显示。

计时出现误差时,可以用校时电路校时、校分。

各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。

报时声响为前四次低最后一高,最后一响为整点。

最后设定闹铃功能,即可在整点设置闹铃。

五具体实现:1.总体方框图定时器图1.总体方框图2.工作原理(1)振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度。

一般来说振荡器的频率越高,计时精度越高。

数电课程设计-多功能数字钟解析

数电课程设计-多功能数字钟解析

课题名称:多功能数字钟所在院系:机械电子工程学院班级:*自动化 * 班学号:2011*******姓名:* 宜杰指导老师:***时间:景德镇陶瓷学院数字电子技术课程设计任务书班级: 11 自动化 1 班姓名: *** 指导老师: *** 2013 年12月17 日设计题目:设计制作多功能数字钟。

设设计任务和要求①时钟显示功能,能够十进制显示“时”、“分”、“秒” ;计②小时高位拥有零熄灭功能;任③拥有整点报时功能;务④拥有迅速校准时间的功能。

1.调研、查找并采集资料。

2.整体设计。

设 3.撰写设计说明书(字数约3000 字左右)。

计4. 参照资料目录要求1、《电子技术基础》(第五版),康华光主编,高等教育第一版社(2006)参考2、《电子线路设计、实验、测试》(第二版),谢自美主编,华中理工大学第一版社(2000)资3、《电子线路设计应用手册》,张友汉主编,福建科学技术第一版社(2000)料4、《 555 集成电路适用大全》,郝鸿安等主编,上海科学普及第一版社5、《电子技术基础实验研究与设计》,陈兆仁主编,电子工业第一版社(2000)6、《毕满清主编,电子技术实验与课程设计》,机械工业第一版社。

7、《数字与逻辑电路》谢芳森电子工业第一版社教研室主任署名:年月日目录前言⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 4 第一章方案⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 5 第二章元路⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯7 第三章体路⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯13 第四章程⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯15附表元件清⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 16 附参照文件⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 17引言跟着社会的发展,科学技术的不停进步,对电子产品的性能要求也更高。

我们做为 21 世纪的一名学电子的大学生,不单要将理论知识学会,更应当将其应用与我们的平时生活中去,使理论与实践很好的联合起来。

多功能数字钟课程设计

多功能数字钟课程设计

摘要之青柳念文创作多功能数字钟是采取数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站,船埠,办公室等公共场合,成为人们日常生活中不成少的必须品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远超出老式钟表原先的报时功能.诸如定时自动报警、按时自动打铃、时间程序自动节制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的.因此,研究数字钟及其广大应用,有着非常现实的意义.数字电子钟由信号发生器“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成.秒信号发生器是整个系统的时基信号,它直接决议计时系统的精度,一般用555或晶振电路构成的振荡器加分频起来实现.将尺度秒脉冲信号送入“秒计数器”,该计数器采取60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲.“分计数器”也60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”.“时计数器”采取24进制计数,可以实现一天24h的积累计.译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来.整点报时电路是根据计时系统的输出状态发生一个脉冲信号,然后去触发音频发生器实现报时.校时电路是来对“时、分、秒”显示数字停止校对,调整.关键词多功能数字钟计数器译码器显示器校时电路目次摘要 (I)1 绪论 (1)1.1 项目研究的布景及意义 (1)1.2 多功能数字钟的现状和发展趋势 (1)2 设计总体方案 (2)2.1 简要说明 (2)2.2 任务要求 (2)2.3 基来历根基理 (2)3 单元电路 (3)3.1 振荡器的设计 (3) (4) (4) (5) (5)3.4 译码器和显示电路的设计 (6)3.5 校时电路的设计 (6)4单元电路设计 (7) (7) (7)4.2.1 60进制计数器的设计 (7)4.2.2 24进制计数器的设计 (8)4.3 译码及驱动显示电路 (9)4.4 校时电路的设计 (9)4.5 报时电路 (10) (11)5仿真成果及分析 (12) (12) (12) (13) (13)总结分析 (14)致谢 (15)参考文献 (16)附录1 (17)附录2 (18)1 绪论1.1 项目研究的布景及意义20世纪末,电子技术得到了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个范畴,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节拍也越来越快.时间对人们来讲总是那末贵重,工作的繁忙性和复杂性容易使人忘记当前的时间.忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅.但是,一旦重要事情,一时的耽误能够变成大祸.多功能数字钟是采取数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站,船埠,办公室等公共场合,成为人们日常生活中不成少的必须品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远超出老式钟表原先的报时功能.诸如定时自动报警、按时自动打铃、时间程序自动节制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的.因此,研究数字钟及其广大应用,有着非常现实的意义.1.2 多功能数字钟的现状和发展趋势单片机模块中最罕见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用.今朝,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展.单片机应用的重要意义还在于,它从根本上改变了传统的节制系统设计思想和设计方法.从前必须由摹拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了.这种软件代替硬件的节制技术也称为微节制技术,是传统节制技术的一次革命.在单片机技术日趋成熟的明天,其矫捷的硬件电路设计和软件的设计,让单片机得到了广泛的应用,几乎是从小的电子产品,到大的工业节制,单片机都起到了无足轻重的作用.单片机小的系统布局几乎是所有具有可编程硬件的一个缩影,可谓是“麻雀虽小,肝胆俱全”,单片机的学习和研究是对微机系统学习和研究的简捷途径.2 设计总体方案2.1 简要说明设计一个具有时分秒显示的数字钟.2.2 任务要求(1) 具有正常走时的基本功能;(2) 具有校时功能(只停止分、时的校时);(3) 具有整点报时功能;(4) 信号发生电路采取石英晶体构成的振荡器;(5) 列出步调,画出设计的逻辑电路图.(6) 电路停止仿真、修改,使仿真成果达到设计要求;(7) 装并测试电路的逻辑功能.2.3基来历根基理数字钟的原理框图如图2-1所示,是由555多谐振荡器、分频器、秒、分、时计数器、译码器、显示器和校时电路组成.555多谐振荡器发生的信号颠末分频器作为秒脉冲,秒脉冲送入计数器计数,计数成果通过“时”、“分”、“秒”译码器显示时间.图2-1 数字钟的原理框图3 单元电路3.1 振荡器的设计数字钟应具有尺度的时钟源,用它发生频率稳定的1Hz脉冲信号,称为秒脉冲,因此振荡器是计时器的核心.通常采取集成电路555定时器与RC组成的多谐振荡器.晶体振荡器给数字钟提供一个频率稳定准确的方波信号,一般输出为方波数字式晶体振荡器通常有两类:一类是用TTL门电路构成;另外一类是通过CMOS非门构成电路.这里我们采取555多谐振荡器.图3-1 1000Hz NE555多谐振荡器分频器的设计由NE555组成的多谐振荡器发生1KHz稳定的脉冲信号,但是时钟电路需要1Hz的秒脉冲信号,所以我们采取由3个74HC161组成的1000分频电路.图3-2 74HC161分频器3.3计数器的设计获得秒脉冲信号后,可根据60秒为1分,60分为1小时,24小时为一天的规律计数.因此,计数器由“秒”、“分”、“时”计数器电路组成,“秒”,“分”计数器为六十进制加法计数器,时计数器为二十四进制加法计数器.采取两片中规模集成电路74LS160组成六十进制加法计数器,可操纵74LS160异步清零端通过反馈归零的方法来实现,也可操纵74LS160同步置数端用置数法来实现.图3-3-1 六十进制加法计数器由两个74LS160和74LS00四2输入与非门组成二十四进制“时”计数器,个位与十位计数器均采取同步级联方式.选择十位计数器的输出端和个位计数器的输出端通过与非门节制两片计数器的清零端,可实现二十四进制递增计数.图3-3-2 二十四进制加法计数器译码器和显示电路的设计译码是将给定的代码停止翻译.计数器采取的码制分歧,译码电路也分歧.译码和显示电路是将“秒”、“分”、“时”计数器中每块集成电路的输出状态翻译成七段数码管能显示十进制数所要求的电信号,然后经数码管,把相应的数字显示出来.译码管有多个型号可以选择,如74LS248、74LS247等.图3-4 译码器显示电路3.5 校时电路的设计校时电路的作用是当计时器刚接通电源或走时出现误差时,实现对“时”、“分”、“秒”的校准.在电路中设有正常计时和校准位置.校时电路可以采取手动校时或自动校时.3.5.1 时、分时间校准电路3.5.2 秒时间校准电路图3-5 校时电路4单元电路设计图4-1 发生1Hz时间脉冲的仿真电路图秒、分计数器为60进制计数器.小时计数器为24进制计数器.实现这两种模数的计数器采取中规模集成计数器74LS160.4.2.1 60进制计数器的设计“秒”74LS160构成的60进制计数器.首先将两片74LS160设置成十进制加法计数器,将两片计数器并行进位则最大可实现100进制的计数器.现要设计一个60进制的计数器,可操纵“反馈清零”的方法实现.当计数器输出“0110、0000”时,通过门电路形成一置数脉冲,使计数器归零.图 4-2-1 60进制计数器电路图4.2.2 24进制计数器的设计同理应个位计数状态为“0100”,十位计数器状态为“0010”时,要求计数器归零.图 4-2-2 24进制计数器图4.3 译码及驱动显示电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码停止翻译,变成相应的数字.用于驱动LED七段数码管的译码器常常使用的有CD4511,CD4511是BCD-7段译码器,其输出是OC门输出高电平有效,专用于驱动LED七段共阴极显示数码管.由CD4511和LED七段共阴极数码管组成的一位数码显示电路如图 16 所示.若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,即可停止分歧数字的显示.图 1-3译码及驱动显示电路图4.4 校时电路的设计数字种启动后,每当数字钟显示与实际时间不符进,需要根据尺度时间停止校时.校“秒”时,采取等待校时.校“分”、“时”的原理比较简单,采取加速校时.对校时电路的要求是 :1.在小时校正时不影响分和秒的正常计数 .2.在分校正时不影响秒和小时的正常计数 .如图所示,当开关打向下时,因为校正信号和0相与的输出为0,而开关的另外一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态.与非门可选74LS00,非门则可用与非门2个输入端并接来代替节俭芯片.因此实际使用时,须对开关的状态停止消除抖动处理,图17为加2个0.01uF的电容.图 4-4 校时电路图4.5 报时电路根据要求,电路应在整点准时报时,当时间到达一个整小时时,电路会发生一次蜂鸣提醒.图 4-5 报时电路图图4-6 总电路图5仿真成果及分析图 2-1时钟成果仿真图星期电路原理和时分秒的计时电路原理相同,选用74LS160做计数器,CD4511做译码显示功能,这里星期电路兼具调整功能,下方开关打至高电平,星期电路会自动跳动直至准确后再拨动开关.图5-2 星期电路仿真图图5-3 手电电路图5.4测试成果分析经测试之后,电路可以实现设计要求,可以实现数字钟的基本功能,比方计数,如图22,同时多功能模块校时功能和报时功能都可使用,如图24.基于仿真成果可以认定,此次多功能数字钟的设计是成功的.数电课设即将竣事,一星期的课程设计给我留下了很深的印象,电路的设计比想象的要复杂一点,而且会不时的出现一些小问题,而我们处理一个个小问题的过程就是我们收获的过程,大体电路设计完毕后我们开端寻求扩大功能,包含报时电路,星期电路和应急用的小手电电路,都会让我为之兴奋,而仿真软件的应用也的确让我们任性了一把,比方因为原件选择的错误一直不克不及仿真..而在总体电路设计完毕后还要分模块为焊接做准备,也让我对统筹规划有了进一步的懂得.而电路的焊接就是对耐烦细心动手的考验了,在一个月黑风高的夜晚,终于我么完成了电路的焊接,,,很高兴在这个充满创意的数电课设上收获颇丰~~~感谢高教师的全力帮忙,感谢所有教师的支持与厚爱!当然还要感谢我的组员和我一起奋战在第一线!我们一起履历过失败,挫折,但是我们都降服掉了所有的坚苦,最后终于见到了辛勤尽力后的彩虹!感谢大家!参考文献[1] [2] 何立平易近. 单片机系统设计. 北京航空航天大学出版社[M].1993.[3] 姚行中,关林风. 微型计算机及外部设备常常使用芯片手册[M].清华大学出版社.1999[4] 康华光,电子技术基础(数字部分).高等教导出版社.[5] 杨旭雷,张浩. 基于RS-485总线的测控系统串行通信协议及软硬件实现[J].电气自动化. 2002(2).附录1 总电路图附录2 元件清单。

数字钟电子课程设计

数字钟电子课程设计

数字钟电子课程设计一、课程目标知识目标:1. 让学生理解数字钟的基本原理,掌握数字钟的电路组成及工作原理。

2. 使学生掌握数字电路设计的基本方法,学会使用集成电路设计数字钟。

3. 帮助学生了解数字钟的显示原理,掌握数码管的使用方法。

技能目标:1. 培养学生运用所学知识,独立设计并搭建数字钟电路的能力。

2. 提高学生分析和解决问题的能力,学会调试和优化数字电路。

3. 培养学生团队协作能力,学会在小组合作中共同完成任务。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新精神和实践能力。

2. 培养学生严谨的科学态度,注重实验操作的规范性和安全性。

3. 增强学生的环保意识,培养学生爱护电子元器件和仪器设备的好习惯。

本课程针对初中年级学生,结合电子技术基础知识,注重理论与实践相结合,旨在提高学生的动手能力、创新能力和团队协作能力。

课程目标明确,可衡量,便于教师进行教学设计和评估。

通过本课程的学习,学生能够掌握数字钟的设计原理,为后续相关课程的学习打下坚实基础。

二、教学内容1. 数字钟原理及电路组成- 了解数字钟的基本原理- 学习数字钟电路的组成及功能- 掌握数字钟集成电路的使用方法2. 数字电路设计基础- 学习数字电路的基本逻辑门- 掌握数字电路的设计方法和步骤- 了解数字电路的测试与调试3. 数码管显示原理及使用- 学习数码管的结构和工作原理- 掌握数码管的驱动电路设计- 了解数码管的显示控制方法4. 数字钟电路设计与搭建- 学习数字钟电路的整体设计- 掌握集成电路在数字钟中的应用- 实践搭建和调试数字钟电路5. 团队协作与成果展示- 分组进行数字钟电路设计- 学会分工合作,共同完成任务- 展示设计成果,分享制作经验教学内容依据课程目标,结合课本章节进行组织,注重科学性和系统性。

教学大纲明确,包括数字钟原理、数字电路设计、数码管显示、电路搭建与调试等模块,旨在帮助学生全面掌握数字钟电子课程相关知识。

数字钟多功能课程设计

数字钟多功能课程设计

数字钟多功能课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成,掌握电子元器件的功能和连接方式。

2. 学生能够运用所学知识设计具有多种功能的数字钟,如闹钟、计时器等。

3. 学生了解数字钟在日常生活和科技领域的应用,拓展知识视野。

技能目标:1. 学生能够运用编程软件进行数字钟程序的编写和调试,提高编程能力。

2. 学生通过动手实践,培养电路搭建和排错能力,提高实践操作技能。

3. 学生能够运用团队协作和沟通技巧,共同完成数字钟的设计和制作。

情感态度价值观目标:1. 学生对电子技术和编程产生兴趣,激发探索精神和创新意识。

2. 学生在课程学习中,培养耐心、细心和责任心,养成良好的学习习惯。

3. 学生通过团队协作,学会分享和互助,培养合作精神和集体荣誉感。

课程性质:本课程为实践性较强的课程,结合理论知识与动手操作,注重培养学生的实际操作能力和团队协作能力。

学生特点:六年级学生具备一定的电子知识和编程基础,好奇心强,喜欢动手实践,但注意力集中时间较短,需要激发兴趣和引导。

教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们自主探究和解决问题。

同时,关注学生的个体差异,给予个性化指导,确保课程目标的达成。

通过课程学习,使学生将所学知识内化为具体的学习成果,提高综合素质。

二、教学内容1. 数字钟原理:介绍数字钟的基本工作原理,包括晶振、计数器、显示器件等组成部分。

- 教材章节:第二章《数字电路基础》- 内容列举:晶振振荡原理、计数器工作原理、显示器件原理。

2. 电子元器件:讲解常用电子元器件的类型、功能及使用方法。

- 教材章节:第三章《常用电子元器件》- 内容列举:电阻、电容、二极管、三极管、集成电路等。

3. 程序设计:学习数字钟程序设计方法,包括编程语言、编程软件的使用。

- 教材章节:第五章《编程语言与程序设计》- 内容列举:C语言基础、编程软件操作、程序调试方法。

4. 电路搭建与排错:实践数字钟电路的搭建和调试,培养动手能力。

数电课设多功能数字钟.

数电课设多功能数字钟.

学号:0121409340829课程设计题目多功能数字钟的设计与实现学院信息工程学院专业电子信息工程班级电信1406班姓名叶文岚指导教师胡君萍2016 年7 月 1 日课程设计任务书学生姓名:叶文岚专业班级:电信1406班指导教师:胡君萍工作单位:信息工程学院题目:多功能数字钟的设计仿真与制作初始条件:利用集成译码器、计数器、定时器、数码管、脉冲发生器和必要的门电路等数字器件实现系统设计。

要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周内完成多功能数字钟电路的设计、仿真、装配与调试。

2、技术要求:① 设计一个数字钟。

要求用4位数码管显示时间,格式为00:00。

②具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

③有译码、七段数码显示功能,能显示时、分、秒计时的结果。

④设计提供连续触发脉冲的脉冲信号发生器,⑤具有校时单元、闹钟单元和整点报时单元。

⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5 篇近5 年参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

时间安排:1)第1-2 天,查阅相关资料,学习设计原理。

2)第3-4 天,方案选择和电路设计仿真。

3)第4-5 天,电路调试和设计说明书撰写。

4)第6 天,上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要.................................................................................... Abstract . (I)1 引言 02 设计方案及论证 (1)3 电路原理及方案 (3)3.1 单元电路方案 (4)3.1.1振荡器的设计 (4)3.1.2时、分、秒计数器的设计 (5)3.1.2.1秒计数器电路 (5)3.1.2.2分计数器电路 (6)3.1.2.3时计数器电路 (8)3.1.3译码驱动及显示电路的设计 (8)3.1.4校时电路的设计 (11)3.1.5整点报时电路的设计 (11)3.1.6闹钟电路的设计 (11)4 仿真结果及分析 (14)4.1仿真结果........................................................ 错误!未定义书签。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计任务书学生姓名:专业班级:指导教师:工作单位:信息工程学院题目: 多功能数字钟的设计与实现初始条件:本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。

用数码管显示时间计数值。

要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。

2、技术要求:1)设计一个数字钟。

要求用六位数码管显示时间,格式为00:00:00。

2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。

3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。

4)设计提供连续触发脉冲的脉冲信号发生器,5)具有校时单元、闹钟单元和整点报时单元。

6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

3、查阅至少5篇参考文献。

按《武汉理工大学课程设计工作规范》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规范。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (3)Abstract (4)绪论 (5)1仿真软件Proteus介绍 (6)1.1Proteus概述 (6)1.2Proteus功能特点 (6)2方案论证 (8)2.1方案一:采用中小规模集成电路模块实现 (8)2.2方案二:采用单片机构建数字钟系统 (8)2.3方案选择 (8)3总体电路设计 (9)3.1电路原理分析与设计 (9)3.2系统原理图 (9)4各模块电路分析 (10)4.1时钟脉冲发生器 (10)4.1.1方案一:RC振荡器 (10)4.1.2方案二:555定时器 (11)4.1.3方案三:石英晶体振荡器 (13)4.1.4结论 (13)4.2译码显示电路 (14)4.3计数器电路 (16)4.3.1 时计数电路 (17)4.3.2 分计数电路 (18)4.3.3秒计数电路 (19)4.4 校时电路部分 (19)4.4.1 方案一:快速脉冲法 (19)4.4.1 方案二:按键单脉冲法 (20)4.5 整点报时电路 (21)4.6 闹钟电路 (22)5总体电路设计与仿真 (23)5.1总体电路图 (24)5.2仿真结果分析 (24)6 总结 (24)参考文献 0摘要钟表一直以来都是国人钟爱的商品之一。

新中国成立以来,国家投入大量资金发展钟表工业,使这一产业得以快速发展,此后,中国的改革开放以及经济全球化发展给中国钟表业带来了繁荣。

经过几十年的发展,钟表的数字化给人们生产生活带来了极大的方便,而且大大扩展了钟表原来的功能如:按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

因此,研究数字钟及扩大其应用,有着非常现实的意义。

数字钟是一种用数字电路技术实现时、分、秒计时的钟表。

与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。

数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。

关键词:数字钟时序电路计数器译码器AbstractWatches have always been a favorite of goods people. Since the founding of New China, the state invested heavily in the development of the watch industry, so that the rapiddevelopment of the industry, after the reform and opening up and globalization of China's economic development to the Chinese watch industry brought prosperity. After decades of development, digital watches to people living and production has brought great convenience, but also greatly expanded the original timepiece features such as: automatic bell schedule, automatically controlled time, regular broadcast, regular opening and closing the circuit, open the oven timer, power off the device and even a variety of timed electrical automatically enabled, all of which are based on digital clocks into base. Therefore, the study of digital clocks and expand its application, has a very real sense.Digital Clock is a digital circuit technology to realize, minutes, seconds chronograph watch. Compared with mechanical clock with higher accuracy and intuitive, with a longer life, has been widely used. Digital clock design There are many ways, such as small and medium scale integrated circuits available electronic clock, you can also use a dedicated chip with electronic clock display circuit and its peripheral circuits composed of electronic bell needed, you can also use the microcontroller to achieve the electronic clock and so on.Keywords: digital clock timing circuit counter decoder绪论集成电路是信息产业和高新技术的核心,是推动国民经济和社会信息化的关键技术。

集成电路的产业规模和技术水平已成为国家综合国力的一个重要标志.集成电路有体积小、功耗小、功能多等优点,因此在许多电子设备中被广泛使用。

电子钟是人们日常生活中常用的计时工具,而数字式电子钟又有其体积小、重量轻、走时准确、结构简单、耗电量少等优点而在生活中被广泛应用,因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间的显示及闹钟等功能。

本次设计以数字电子为主,分别对一秒时钟信号源、秒计时显示、分计时显示、小时计时显示、整点报时、校时电路及闹钟电路进行设计,然后将它们组合,来完成时、分、秒的显示并且有整点报时和闹钟的功能。

并通过本次设计加深对数字电子技术的理解进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法以及更熟练使用计数器、触发器和各种逻辑门电路的能力。

电路主要使用集成计数器,例如74LS160、译码集成电路,74ls48,LED数码管,CD4060,及各种门电路和基本的触发器等,很适合在日常生活中使用。

1仿真软件Proteus介绍1.1Proteus概述Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。

它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。

它是目前比较好的仿真单片机及外围器件的工具。

虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。

Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。

是目前世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年又增加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。

在编译方面,它也支持IAR、Keil和MATLAB等多种编译器。

1.2Proteus功能特点在PROTEUS绘制好原理图后,调入已编译好的目标代码文件:*.HEX,可以在PROTEUS 的原理图中看到模拟的实物运行状态和过程。

PROTEUS 是单片机课堂教学的先进助手。

PROTEUS不仅可将许多单片机实例功能形象化,也可将许多单片机实例运行过程形象化。

前者可在相当程度上得到实物演示实验的效果,后者则是实物演示实验难以达到的效果。

它的元器件、连接线路等却和传统的单片机实验硬件高度对应。

这在相当程度上替代了传统的单片机实验教学的功能,例:元器件选择、电路连接、电路检测、电路修改、软件调试、运行结果等。

课程设计、毕业设计是学生走向就业的重要实践环节。

由于PROTEUS提供了实验室无法相比的大量的元器件库,提供了修改电路设计的灵活性、提供了实验室在数量、质量上难以相比的虚拟仪器、仪表,因而也提供了培养学生实践精神、创造精神的平台随着科技的发展,“计算机仿真技术”已成为许多设计部门重要的前期设计手段。

它具有设计灵活,结果、过程的统一的特点。

可使设计时间大为缩短、耗资大为减少,也可降低工程制造的风险。

相信在单片机开发应用中PROTEUS也能茯得愈来愈广泛的应用。

使用Proteus 软件进行单片机系统仿真设计,是虚拟仿真技术和计算机多媒体技术相结合的综合运用,有利于培养学生的电路设计能力及仿真软件的操作能力;在单片机课程设计和全国大学生电子设计竞赛中,我们使用 Proteus 开发环境对学生进行培训,在不需要硬件投入的条件下,学生普遍反映,对单片机的学习比单纯学习书本知识更容易接受,更容易提高。

相关文档
最新文档