FPGA可编程逻辑器件芯片EP1S30B956C5N中文规格书
FPGA可编程逻辑器件芯片EP1S30B956I7N中文规格书
EP2S30 Clock Timing ParametersTables 5–48 through 5–51 show the maximum clock timing parametersfor EP2S30 devices.Table 5–47.EP2S15 Row Pins Global Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.206 1.262 2.113 2.422 2.815 ns t C O U T 1.211 1.267 2.109 2.418 2.810 ns t P L L C I N -0.125-0.138-0.023-0.038-0.056 ns t P L L C O U T-0.12-0.133-0.027-0.042-0.061 nsTable 5–48.EP2S30 Column Pins Regional Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt CI N 1.553 1.627 2.639 3.025 3.509 ns t C O U T 1.396 1.462 2.397 2.747 3.185 ns t P L L C I N 0.1140.1130.2250.2480.28 ns t P L L C O U T-0.043-0.052-0.017-0.03-0.044 nsTable 5–49.EP2S30 Column Pins Global Clock Timing Parameters ParameterMinimum Timing -3 SpeedGrade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.539 1.613 2.622 3.008 3.501 ns t C O U T 1.382 1.448 2.380 2.730 3.177 ns t P L L C I N 0.1010.0980.2090.2290.267 ns t P L L C O U T-0.056-0.067-0.033-0.049-0.057 nsDC & Switching CharacteristicsEP2S130 Clock Timing ParametersTables 5–60 through 5–63 show the maximum clock timing parametersfor EP2S130 devices.Table 5–59.EP2S90 Row Pins Global Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.585 1.658 2.757 3.154 3.665 ns t C O U T 1.590 1.663 2.753 3.150 3.660 ns t P L L C I N -0.341-0.341-0.193-0.235-0.278 ns t P L L C O U T-0.336-0.336-0.197-0.239-0.283 nsTable 5–60.EP2S130 Column Pins Regional Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.889 1.981 3.405 3.722 4.326 ns t C O U T 1.732 1.816 3.151 3.444 4.002 ns t P L L C I N 0.1050.1060.2260.2420.277 ns t P L L C O U T-0.052-0.059-0.028-0.036-0.047 nsTable 5–61.EP2S130 Column Pins Global Clock Timing Parameters ParameterMinimum Timing -3 SpeedGrade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.907 1.998 3.420 3.740 4.348 ns t C O U T 1.750 1.833 3.166 3.462 4.024 ns t P L L C I N 0.1340.1360.2760.2960.338 ns t P L L C O U T-0.023-0.0290.0220.0180.014 nsEP2S180 Clock Timing ParametersTables 5–64 through 5–67 show the maximum clock timing parametersfor EP2S180 devices.Table 5–62.EP2S130 Row Pins Regional Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.680 1.760 3.070 3.351 3.892 ns t C O U T 1.685 1.765 3.066 3.347 3.887 ns t P L L C I N -0.113-0.124-0.12-0.138-0.168 ns t P L L C O U T-0.108-0.119-0.124-0.142-0.173 nsTable 5–63.EP2S130 Row Pins Global Clock Timing Parameters ParameterMinimum Timing -3 SpeedGrade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 1.690 1.770 3.075 3.362 3.905 ns t C O U T 1.695 1.775 3.071 3.358 3.900 ns t P L L C I N -0.087-0.097-0.075-0.089-0.11 ns t P L L C O U T-0.082-0.092-0.079-0.093-0.115 nsTable 5–64.EP2S180 Column Pins Regional Clock Timing Parameters ParameterMinimum Timing -3 Speed Grade-4 Speed Grade-5 Speed GradeUnitIndustrialCommercialt C I N 2.001 2.095 3.643 3.984 4.634 ns t C O U T 1.844 1.930 3.389 3.706 4.310 ns t P L L C I N -0.307-0.2970.0530.0460.048 ns t P L L C O U T-0.464-0.462-0.201-0.232-0.276 nsDefault Capacitive Loading of Different I/O StandardsSee Table 5–71 for default capacitive loading of different I/O standards.Table 5–70.Stratix II IOE Programmable Delay on Row PinsNote (1)Parameter Paths AffectedAvailableSettings Minimum Timing (2)-3 Speed Grade (3)-4 Speed Grade-5 Speed GradeMin Offset (ps)Max Offset (ps)Min Offset (ps)Max Offset (ps)Min Offset (ps)Max Offset (ps)Min Offset (ps)MaxOffset (ps)Input delay from pin to internal cells Pad to I/O dataout to logic array 8001,6971,782002,8763,0200 3,308 0 3,853Input delay from pin to input register Pad to I/O input register 64001,9562,054003,2703,4340 3,761 0 4,381Delay from output register to output pin I/O output register to pad 200316332005255250 575 0 670Output enable pin delay t X Z , t Z X200305320005075070 5560 647Notes to Table 5–70:(1)The incremental values for the settings are generally linear. For the exact delay associated with each setting, use the latest version of the Quartus II software.(2)The first number is the minimum timing parameter for industrial devices. The second number is the minimum timing parameter for commercial devices.(3)The first number applies to -3 speed grade EP2S15, EP2S30, EP2S60, and EP2S90 devices. The second number applies to -3 speed grade EP2S130 and EP2S180 devices.Table 5–71.Default Loading of Different I/O Standards for Stratix II (Part 1 of 2)I/O StandardCapacitive Load UnitLVTTL 0p F LVCMOS 0pF 2.5 V 0pF 1.8 V 0 pF 1.5 V 0pFPCI 10p F PCI-X10p F SSTL-2 Class IpFDC & Switching Characteristics。
FPGA可编程逻辑器件芯片EP1S30F1020I5N中文规格书
C16 column interconnects span a length of 16 LABs and provide the fastest resource for long column connections between LABs, TriMatrix memory blocks, DSP blocks, and IOEs. C16 interconnects can crossM-RAM blocks and also drive to row and column interconnects at every fourth LAB. C16 interconnects drive LAB local interconnects via C4 and R4 interconnects and do not drive LAB local interconnects directly.All embedded blocks communicate with the logic array similar to LAB-to-LAB interfaces. Each block (that is, TriMatrix memory and DSP blocks) connects to row and column interconnects and has local interconnect regions driven by row and column interconnects. These blocks also have direct link interconnects for fast connections to and from a neighboring LAB. All blocks are fed by the row LAB clocks, labclk[5..0].Table 2–2 shows the Stratix II device’s routing scheme.Table 2–2. Stratix II Device Routing Scheme (Part 1 of 2)SourceDestinationS h a r e d A r i t h m e t i c C h a i nC a r r y C h a i nR e g i s t e r C h a i nL o c a l I n t e r c o n n e c tD i r e c t L i n k I n t e r c o n n e c t R 4 I n t e r c o n n e c tR 24 I n t e r c o n n e c tC 4 I n t e r c o n n e c tC 16 I n t e r c o n n e c tA L MM 512 R A M B l o c kM 4K R A M B l o c kM -R A M B l o c kD S P B l o c k sC o l u m n I O ER o w I O EShared arithmetic chain v Carry chain v Register chain vLocal interconnect v v v v v v vDirect link interconnect v R4 interconnect v v v v v R24 interconnect v v v v C4 interconnect vvv C16 interconnect v v v vALMv v v v v vv M512 RAM block v v v v M4K RAM block v v vv M-RAM block v v v vDSP blocksv vvTriMatrix MemoryTriMatrix MemoryTriMatrix memory consists of three types of RAM blocks: M512, M4K, and M-RAM. Although these memory blocks are different, they can all implement various types of memory with or without parity, including true dual-port, simple dual-port, and single-port RAM, ROM, and FIFO buffers. Table 2–3 shows the size and features of the different RAM blocks.Column IOE v v vRow IOEv v v vTable 2–2. Stratix II Device Routing Scheme (Part 2 of 2)SourceDestinationS h a r e d A r i t h m e t i c C h a i nC a r r y C h a i nR e g i s t e r C h a i nL o c a l I n t e r c o n n e c tD i r e c t L i n k I n t e r c o n n e c t R 4 I n t e r c o n n e c tR 24 I n t e r c o n n e c tC 4 I n t e r c o n n e c tC 16 I n t e r c o n n e c tA L MM 512 R A M B l o c kM 4K R A M B l o c kM -R A M B l o c kD S P B l o c k sC o l u m n I O ER o w I O ETable 2–3.TriMatrix Memory Features (Part 1 of 2)Memory FeatureM512 RAM Block (32×18 Bits)M4K RAM Block (128×36 Bits)M-RAM Block (4K ×144Bits)Maximum performance 500 MHz550 MHz420 MHzT rue dual-port memory vv Simple dual-port memory v v v Single-port memory v v vShift register v v ROM v v (1)FIFO buffer v v v Pack mode v v Byte enablev v v Address clock enable v v Parity bits v v v Mixed clock mode v v vMemory initialization (.mif )vvThe M4K RAM blocks allow for different clocks on their inputs andoutputs. Either of the two clocks feeding the block can clock M4K RAMblock registers (renwe, address, byte enable, datain, and output registers).Only the output register can be bypassed. The six labclk signals or localinterconnects can drive the control signals for the A and B ports of theM4K RAM block. ALMs can also control the clock_a, clock_b,renwe_a, renwe_b, clr_a, clr_b, clocken_a, and clocken_bsignals, as shown in Figure2–21.The R4, C4, and direct link interconnects from adjacent LABs drive theM4K RAM block local interconnect. The M4K RAM blocks cancommunicate with LABs on either the left or right side through these rowresources or with LAB columns on either the right or left with the columnresources. Up to 16 direct link input connections to the M4K RAM Blockare possible from the left adjacent LABs and another 16 possible from theright adjacent LAB. M4K RAM block outputs can also connect to left andright LABs through direct link interconnect. Figure2–22 shows the M4KRAM block to logic array interface.Figure2–21.M4K RAM Block Control SignalsTriMatrix MemoryFigure2–22.M4K RAM Block LAB Row InterfaceM-RAM BlockThe largest TriMatrix memory block, the M-RAM block, is useful forapplications where a large volume of data must be stored on-chip. Eachblock contains 589,824 RAM bits (including parity bits). The M-RAMblock can be configured in the following modes:■True dual-port RAM■Simple dual-port RAM■Single-port RAM■FIFOYou cannot use an initialization file to initialize the contents of an M-RAMblock. All M-RAM block contents power up to an undefined value. Onlysynchronous operation is supported in the M-RAM block, so all inputsare registered. Output registers can be bypassed.。
FPGA可编程逻辑器件芯片EP1S30F780I6中文规格书
About This Handbook This handbook provides comprehensive information about the Altera®Stratix family of devices.How to Find Information You can find more information in the following ways:■The Adobe Acrobat Find feature, which searches the text of a PDF document. Click the binoculars toolbar icon to open the Find dialog box.■Acrobat bookmarks, which serve as an additional table of contents in PDF documents.■Thumbnail icons, which provide miniature previews of each page, provide a link to the pages.■Numerous links, shown in green text, which allow you to jump to related information.How to Contact Altera For the most up-to-date information about Altera products, go to the Altera world-wide web . For technical support on this product, go to For additional information about Altera products, consult the sources shown below.Information Type USA & Canada All Other Locations T echnical support(800)800-EPLD (3753)(7:00 a.m. to 5:00 p.m. Pacific Time)+1 408-544-87677:00 a.m. to 5:00 p.m. (GMT -8:00) Pacific TimeProduct literature Altera literature servicesNon-technical customer service (800)767-3753+1 408-544-70007:00 a.m. to 5:00 p.m. (GMT -8:00)Pacific TimeFTP siteLogic ElementsTable2–6.M4K RAM Block Configurations (True Dual-Port)Port APort B4K ×12K×21K× 4512 × 8256 × 16512 × 9256 × 184K× 1v v v v v2K× 2v v v v v1K× 4v v v v v512× 8v v v v v256× 16v v v v v512× 9v v 256× 18v vFigure2–17.M4K RAM Block Control SignalsFigure2–18.M4K RAM Block LAB Row InterfaceDigital Signal Processing BlockTable2–16.Multiplier Size & Configurations per DSP blockDSP Block Mode9 × 918 × 1836 × 36 (1)Multiplier Eight multipliers witheight product outputs Four multipliers with fourproduct outputsOne multiplier with oneproduct outputMultiply-accumulator T wo multiply andaccumulate (52 bits)T wo multiply and accumulate (52 bits)–T wo-multipliers adder Four sums of twomultiplier products each T wo sums of twomultiplier products each–Four-multipliers adder T wo sums of fourmultiplier products each One sum of four multiplier products each–。
FPGA可编程逻辑器件芯片EPM240T100C5N中文规格书
Stratix II GX Architecture Drivers from internal logic GCLKDRV0v v GCLKDRV1v v GCLKDRV2v v GCLKDRV3v v RCLKDRV0v v RCLKDRV1v vRCLKDRV2v vRCLKDRV3vv RCLKDRV4vv RCLKDRV5vv RCLKDRV6vv RCLKDRV7vv PLL 1 outputs c0vv v v v v c1v vv v v v c2vv v v v v c3vv v v v v PLL 2 outputs c0vv v v v v c1v vv v v v c2vv v v v v c3vv v v v v PLL 7 outputs c0vv v v c1vv v v c2vv v v c3v v v v Table 2–27.Global and Regional Clock Connections from Left Side Clock Pins and Fast PLL Outputs (Part 2 of 3)Left Side Global and Regional Clock Network Connectivity C L K 0C L K 1C L K 2C L K 3R C L K 0R C L K 1R C L K 2R C L K 3R C L K 4R C L K 5R C L K 6R C L K 7TriMatrix MemoryTriMatrix memory provides three different memory sizes for efficientapplication support. The Quartus II software automatically partitions theuser-defined memory into the embedded memory blocks using the mostefficient size combinations. You can also manually assign the memory toa specific block size or a mixture of block sizes.M512 RAM BlockThe M512 RAM block is a simple dual-port memory block and is usefulfor implementing small FIFO buffers, DSP , and clock domain transferapplications. Each block contains 576 RAM bits (including parity bits).M512 RAM blocks can be configured in the following modes:■Simple dual-port RAM ■Single-port RAM ■FIFO ■ROM ■Shift registerWhen configured as RAM or ROM, you can use an initialization file topre-load the memory contents.Simple dual-port memorymixed width supportv v v T rue dual-port memorymixed width supportv v Power-up conditionsOutputs cleared Outputs cleared Outputs unknown Register clearsOutput registers Output registers Output registers Mixed-port read-during-writeUnknown output/old data Unknown output/old dataUnknown output Configurations 512 × 1256 × 2128 × 464 × 864 × 932 × 1632 × 184K × 12K × 21K × 4512 × 8512 × 9256 × 16256 × 18128 × 32128 × 3664K × 864K × 932K × 1632K × 1816K × 3216K × 368K × 648K × 724K × 1284K × 144Note to Table 2–19:(1)Violating the setup or hold time on the memory block address registers could corrupt memory contents. Thisapplies to both read and write operations.Table 2–19.TriMatrix Memory Features (Part 2 of 2)Memory FeatureM512 RAM Block (32×18 Bits)M4K RAM Block (128×36 Bits)M-RAM Block (4K ×144Bits)Digital Signal Processing (DSP) BlockFigure2–58.DSP Block Diagram for 18 × 18-Bit Configuration。
FPGA可编程逻辑器件芯片EP1S30F1020C5N中文规格书
Error Detection BlockYou can enable the Stratix III device error detection block in the Quartus II software(refer to“Software Support” on page15–11). This block contains the logic necessary tocalculate the 16-bit CRC signature for the configuration CRAM bits in the device.The CRC circuit continues running even if an error occurs. When a soft error occurs,the device sets the CRC_ERROR pin high. Two types of CRC detection check theconfiguration bits:■The CRAM error checking ability (16-bit CRC) during user mode, for use by the CRC_ERROR pin.■For each frame of data, the pre-calculated 16-bit CRC enters the CRC circuitright at the end of the frame data and determines whether or not there is anerror.■If an error occurs, the search engine starts to find the location of the error.■You can shift the error messages out through the JTAG instruction or coreinterface logic while the error detection block continues running.■The JTAG interface reads out the 16-bit CRC result for the first frame and alsoshifts the 16-bit CRC bits to the 16-bit CRC storage registers for test purposes.■You can deliberately introduce single error, double errors, or double errorsadjacent to each other to configuration memory for testing and designverification.1The “Error Detection Registers” section focuses on the first type, the 16-bit CRC only when the device is in user mode.■The 16-bit CRC that is embedded in every configuration data frame.■During configuration, after a frame of data is loaded into the Stratix III device,the pre-computed CRC is shifted into the CRC circuitry.■At the same time, the CRC value for the data frame shifted-in is calculated. Ifthe pre-computed CRC and calculated CRC values do not match, nSTATUS isset low. Every data frame has a 16-bit CRC; therefore, there are many 16-bitCRC values for the whole configuration bitstream. Every device has differentlengths of the configuration data frame.Error Detection RegistersThere is one set of 16-bit registers in the error detection circuitry that stores thecomputed CRC signature. A non-zero value on the syndrome register causes theCRC_ERROR pin to be set high. Figure15–1 shows the block diagram of the errordetection circuitry, syndrome registers, and error injection block.Chapter 16:Programmable Power and Temperature-Sensing Diodes in Stratix III DevicesStratix III External Power Supply Requirementsf For possible values of each power supply, refer to the DC and Switching Characteristicsof Stratix III Devices chapter in volume 2 of the Stratix III Device Handbook.f For detailed guidelines about how to connect and isolate VCCL and VCC power supplypins, refer to the Stratix III Device Family Pin Connections Guidelines.Table16–2.Stratix III Power Supply RequirementsNotes to Table16–2:(1)You can minimize the number of external power sources by driving the left column and supplies with the same voltage regulator. Note thatseparate power planes, decoupling capacitors, and ferrite beads are required for VCCA_PLL and VCCPT when implementing this scheme. (2)V CCPD can be either 2.5 V, 3.0 V, or 3.3 V. For a 3.3-V standard, V CCPD = 3.3 V. For a 3.0-V I/O standard, V CCPD = 3.0V. For 2.5 V and below I/Ostandards, V CCPD = 2.5V.(3)This scheme is for VCCIO = 2.5V.(4)There is one VREF pin per I/O bank. Use an external power supply or a resistor divider network to supply this voltage.Chapter 16:Programmable Power and Temperature-Sensing Diodes in Stratix III DevicesTemperature Sensing DiodeFigure16–1 shows an example of power management for Stratix III devices.Figure16–1.Stratix III Power Management Example (Note1), (2)Notes to Figure16–1:(1)When V CCL = 0.9V, you need a separate voltage regulator.(2)When V CCL = 0.9V, V CCPT and V CC must be ramped before V CCL to minimize V CCL standby current during V CCPT and V CC ramping to full rail.Temperature Sensing DiodeKnowing the junction temperature is crucial for thermal management. A Stratix IIIdevice monitors its die temperature with an embedded temperature sensing diode(TSD). This is done by sensing the voltage level across the TSD. Each temperaturelevel produces a unique voltage across the diode. Use an external analog-to-digitalconverter that measures the voltage difference across the TSD and then converts it to atemperature reading.Chapter 16:Programmable Power and Temperature-Sensing Diodes in Stratix III DevicesConclusionExternal Pin ConnectionsThe Stratix III TSD, located in the top-right corner of the die, requires two pins forvoltage reference. Connect the TEMPDIODEP and TEMPDIODEN pins to the externalanalog-to-digital converter, as shown in Figure16–2.Figure16–2.TEMPDIODEP and TEMPDIODEN External Pin ConnectionsTemperat u reThe TSD is a very sensitive circuit which can be influenced by the noise coupled fromtraces on the board, and possibly within the device package itself, depending ondevice usage. The interfacing device registers temperature based on milivolts ofdifference as seen at the TSD. Switching I/O near the TSD pins can affect thetemperature reading. Altera recommends you take temperature readings duringperiods of no activity in the device (for example, standby mode where no clocks aretoggling in the device), such as when the nearby I/Os are at a DC state and the clocknetworks in the device are disabled.Figure16–3.TSD ConnectionsConclusionAs process geometries get smaller, power and thermal management is becoming morecrucial in FPGA designs. Stratix III devices offer programmable power technologyand selectable core voltage options for low-power operation. Use these features, alongwith speed grade choices, in different permutations to get the best power andperformance combination. Taking advantage of the silicon, the Quartus II software isable to manipulate designs to use the best combination to achieve the lowest power atthe required performance.For thermal management, use the Stratix III temperature sensing diode with anexternal analog-to-digital converter in production devices. This allows you to easilyincorporate this feature in your designs. Being able to monitor the junctiontemperature of the device at any time also allows you to control air flow to the deviceand save power for the whole system.Chapter 16:Programmable Power and Temperature-Sensing Diodes in Stratix III Devices Chapter Revision History。
FPGA可编程逻辑器件芯片EP1C12F256C6N中文规格书
Secure Digital HostWAIT StateIn the WAIT state, the SDH waits for a response to be received on the SD_CMD signal. Upon entering this state, an internal timer starts. If the response is not received within 64 SD_CLK cycles, the CMD_TIMEOUT flag is set and the CMD_ACT flag is cleared. The state machine then enters theIDLE state, awaiting the next action.A response, sent back from the card and indicated by the "0" start bit onthe SD_CMD signal, transitions the SDH to the RECEIVE state where it is ready to receive a short or long response.The WAIT state can also detect card interrupts. This is an optional feature that applies only to MMC cards. The feature is enabled by setting the CMD_INT_E bit in the SDH_COMMAND register. When CMD_INT_E is set, the timeout timer that is normally started upon entry to the WAIT state is disabled. The SDH remains in this state until a card interrupt is detected.Cards that implement this feature may have functions with a delayedresponse that is triggered by an internal event in the card. Once the event is triggered the card sends the response. The SDH then detects this start bit of the response and proceeds to the RECEIVE state.RECEIVE StateIn the RECEIVE state the SDH reads the response on the SD_CMD signal from the card. Upon receiving either the short or long response, if the response passes the CRC check, the CMD_ACT flag is cleared and theCMD_RSP_END flag is set. If the CRC check fails, the CMD_CRC_FAIL flag is set. In either case, the state machine then goes to the IDLE state.ADSP-BF54x Blackfin Processor Hardware ReferenceADSP-BF54x Blackfin Processor Hardware ReferenceSecure Digital HostSDH Data Path CRCThe data CRC generator of the SDH calculates the 16-bit CRC checksum for all bits sent or received for a given block transaction. The data path CRC generator is not enabled for stream based data transfers. For a 1-bit bus configuration, the 16-bit CRC is calculated for all data sent on the SD_DATA0 signal. For a 4-bit wide data bus, the 16-bit CRC is calculated separately for each SD_DATAx signal. The data path CRC checksum is a 16-bit value calculated as follows.with:where:SDH Data FIFOThe data FIFO is a 32-bit wide, 16-word deep data buffer with transmit and receive logic. The FIFO configuration depends on the state of the TX_ACT and RX_ACT flags. If TX_ACT is set, the FIFO operates as a transmit FIFO, supplying data to the SDH for transfer to the card. If RX_ACT is set, the FIFO operates as a receive FIFO, where the SDH writes data received from the card. If neither TX_ACT nor RX_ACT flags are set, then the FIFO is disabled.CRC[15:0]Remainder x 16M x ()⨯G x ()-------------------------=G x ()x 16x 12x 51+++=M x ()x 8(DTX_BLK_LGTH )⨯1–(first data bit)⨯...x 0(last data bit)⨯++=。
FPGA可编程逻辑器件芯片EP1C3T100I7中文规格书
TWI Registers•Receive FIFO status (RCVSTAT[1:0])The RCVSTAT field is read only. It indicates the number of valid databytes in the receive FIFO buffer. The status is updated with eachFIFO buffer read using the peripheral data bus or write access bythe receive shift register. Simultaneous accesses are allowed.[b#11] The FIFO is full and contains two bytes of data. Either asingle or double byte peripheral read of the FIFO is allowed.[b#10] Reserved[b#01] The FIFO contains one byte of data. A single byte periph-eral read of the FIFO is allowed.[b#00] The FIFO is empty.•Transmit FIFO status (XMTSTAT[1:0])The XMTSTAT field is read only. It indicates the number of valid databytes in the FIFO buffer. The status is updated with each FIFObuffer write using the peripheral data bus or read access by thetransmit shift register. Simultaneous accesses are allowed.[b#11] The FIFO is full and contains two bytes of data.[b#10] Reserved[b#01] The FIFO contains one byte of data. A single byte periph-eral write of the FIFO is allowed.[b#00] The FIFO is empty. Either a single or double byte periph-eral write of the FIFO is allowed.ADSP-BF54x Blackfin Processor Hardware ReferenceTWI RegistersADSP-BF54x Blackfin Processor Hardware ReferenceTWI FIFO Receive Data Single Byte (TWIx_RCV_DATA8) RegisterThe TWIx_RCV_DATA8 register holds an 8-bit data value read from the FIFO buffer. Receive data is read from the corresponding receive buffer in a first-in first-out order. Although peripheral bus reads are 16 bits, a read access to TWIx_RCV_DATA8 accesses only one transmit data byte from the FIFO buffer. With each access, the receive status (RCVSTAT ) field in the TWIx_FIFO_STAT register is updated. If an access is performed while the FIFO buffer is empty, the data is unknown and the FIFO buffer status remains indicating it is empty.Figure 23-27. TWI FIFO Receive Data Single Byte Register 15141312111098765432100000000000000000TWI FIFO Receive Data Single Byte Register (TWIx_RCV_DATA 8)All bits are RO.RCVDATA 8[7:0] (ReceiveFIFO 8-Bit Data)Reset = 0x0000TWI0_RCV_DATA 8 0xFFC00788TWI1_RCV_DATA 8 0xFFC02288。
FPGA可编程逻辑器件芯片EP1S30B1020C6中文规格书
This section provides information on Stratix®III device I/O features, external memory interfaces, and high-speed differential interfaces with DPA. This section includes the following chapters:■Chapter7, Stratix III Device I/O Features■Chapter8, External Memory Interfaces in Stratix III Devices■Chapter9, High-Speed Differential I/O Interfaces and DPA in Stratix III DevicesChapter 7:Stratix III Device I/O FeaturesStratix III I/O Structure3.3-V I/O InterfaceStratix III I/O buffers are fully compatible with 3.3-V I/O standards, and you can usethem as transmitters or receivers in your system. The output high voltage (VOH),output low voltage (VOL ), input high voltage (VIH), and input low voltage (VIL) levelsmeet the 3.3-V I/O standards specifications defined by EIA/JEDEC Standard JESD8-Bwith margin when the Stratix III VCCIOvoltage is powered by 3.3V or 3.0V.For device reliability and proper operation when interfacing with a 3.3V I/O system using Stratix III devices, ensure that the absolute maximum ratings of Stratix III devices are not violated. Altera recommends performing IBIS simulation to determine that the overshoot and undershoot voltages are within the guidelines.When using a Stratix III device as a transmitter, some techniques can limit the overshoot and undershoot at the I/O pins, such as slow slew rate and series termination, but they are not mandatory. Transmission line effects that cause large voltage deviation at the receiver are associated with impedance mismatch between the driver and transmission line. By matching the impedance of the driver to the characteristic impedance of the transmission line, overshoot voltage can be significantly reduced. You can use a series termination resistor placed physically close to the driver to match the total driver impedance to the transmission line impedance.Stratix III devices support OCT RSfor all LVTTL/LVCMOS I/O standards in all I/O banks.When using a Stratix III device as a receiver, a clamping diode can be used to limit the overshoot (on-chip or off-chip), but it is not mandatory. Stratix III devices provide an optional on-chip PCI-clamp diode for column I/O pins. You can use this diode to protect I/O pins against overshoot voltage.Another method for limiting overshoot is reducing the bank supply voltage (VCCIO) to 3.0V. With this method, the clamp diode (on-chip or off-chip), though not mandatory, can sufficiently clamp overshoot voltage to within the DC and AC input voltage specification. The clamped voltage can be expressed as the sum of the supply voltage(VCCIO ) and the diode forward voltage. By lowering VCCIOto 3.0V you can reduceovershoot and undershoot for all I/O standards, including 3.3-V LVTTL/LVCMOS,3.0-V LVTTL/LVCMOS, and 3.0-V PCI/PCI-X. Additionally, lowering VCCIOto 3.0V reduces power consumption.f For more information about absolute maximum rating and maximum allowedovershoot during transitions, refer to the DC and Switching Characteristics of Stratix IIIDevices chapter.External Memory InterfacesIn addition to the I/O registers in each IOE, Stratix III devices also have dedicatedregisters and phase-shift circuitry on all I/O banks for interfacing with externalmemory interfaces. Table7–4 lists the memory interfaces and the corresponding I/Ostandards supported by Stratix III devices.Table7–4.Memory Interface Standards Supported (Part 1 of 2)Chapter 7:Stratix III Device I/O Features Stratix III I/O StructureProgrammable Differential Output VoltageStratix III LVDS transmitters support programmable V OD . The programmable V OD settings enable you to adjust output eye height to optimize for trace length and power consumption. A higher V OD swing improves voltage margins at the receiver end while a smaller V OD swing reduces power consumption. The Quartus II software allows four settings for programmable V OD —low, medium low, medium high, and high. The default setting is medium low.fFor more information about programmable V OD , refer to the High Speed Differential I/O Interfaces with DPA in the Stratix III Devices chapter.MultiVolt I/O InterfaceThe Stratix III architecture supports the MultiV olt TM I/O interface feature that allows Stratix III devices in all packages to interface with systems of different supply voltages.You can connect the V CCIO pins to a 1.2-, 1.5-, 1.8-, 2.5-, 3.0 or 3.3-V power supply , depending on the output requirements. The output levels are compatible withsystems of the same voltage as the power supply. (For example, when V CCIO pins are connected to a 1.5-V power supply, the output levels are compatible with 1.5-V systems.)You must connect the Stratix III VCCPD power pins to a 2.5-, 3.0 or 3.3-V power supply . Using these power pins to supply the pre-driver power to the output buffers increases the performance of the output pins. Table 7–7 summarizes Stratix III MultiV olt I/O support. 1For V CCIO = 3.3 V , V CCPD =3.3 V . For V CCIO = 3.0 V , V CCPD = 3.0 V . For V CCIO = 2.5 V or less, V CCPD = 2.5 V .Table 7–7.MultiVolt I/O Support for Stratix III Devices (Note 1), (2)Notes to Table 7–7:(1)The pin current may be slightly higher than the default value. You must verify that the driving device’s V OL maximum and V OH minimum voltagesdo not violate the applicable Stratix III V IL maximum and V IH minimum voltage specifications.(2)Use on-chip PCI clamp diode for column I/Os or external PCI clamp diode for row I/Os to protect the input pins against overshoot voltage.(3)Each I/O bank of a Stratix III device has its own VCCIO pins and supports only one V ccio , either 1.2, 1.5, 1.8, or 3.0V. The LVDS I/O standardrequires that a V CCIO of 2.5V cannot be assigned in a same bank with a 3.0-V or 3.3-V output signal.Chapter 8:External Memory Interfaces in Stratix III DevicesMemory Interfaces Pin SupportFigure8–2 shows an overview of the memory interface data path that uses all theStratix III I/O Element (IOE) features.Figure8–2.External Memory Interface Data Path Overview(Note1), (2), (3)Notes to Figure8–2:(1)Each register block can be bypassed.(2)The blocks for each memory interface may differ slightly.(3)These signals may be bi-directional or uni-directional, depending on the memory standard. When bi-directional, the signal is active during bothread and write operations.This chapter describes the hardware features in Stratix III devices that facilitatehigh-speed memory interfacing for each DDR memory standard. Stratix III devicesfeature DLLs, PLLs, dynamic OCT, read and write leveling, and deskew ciruitry.Memory Interfaces Pin SupportA typical memory interface requires data (D, Q, or DQ), data strobe (DQS/CQ andDQSn/CQn), address, command, and clock pins. Some memory interfaces use datamask (DM) pins to enable write masking and QVLD pins to indicate that the read datais ready to be captured. This section describes how Stratix III devices support all thesedifferent pins.f For more information on memory interfaces, refer to the Stratix III Pin ConnectionGuidelines.Chapter 8:External Memory Interfaces in Stratix III DevicesMemory Interfaces Pin SupportData and Data-Strobe/Clock PinsRead data-strobes or clocks are called DQS pins. Depending on the memoryspecifications, DQS pins can be bi-directional single-ended signals (in DDR2 and DDRSDRAM), uni-directional differential signals (in RLDRAM II), bi-directionaldifferential signals (DDR3 and DDR2 SDRAM), or uni-directional complementarysignals (QDR II+ and QDR II SRAM). Connect the uni-directional read and writedata-strobes or clocks to Stratix III DQS pins.Stratix III devices offer differential input buffers for differential readdata-strobe/clock operations and provide an independent DQS logic block for eachCQn pin for complementary read data-strobe/clock operations. The differential DQSpin-pairs are denoted as DQS and DQSn pins, while the complementary DQS signalsare denoted as CQ and CQn pins. DQSn and CQn pins are marked separately in thepin table. Each CQn pin connects to a DQS logic block and the shifted CQn signals goto the negative-edge input registers in the IOE registers.1Use differential DQS signaling for DDR2 SDRAM interfaces running higher than 333MHz.1For DDR3 and DDR2 SDRAM application, pseudo-differential DQS signaling is used for write operation.Stratix III DDR memory interface data pins are called DQ pins. DQ pins can bebi-directional signals (in DDR3, DDR2, and DDR SDRAM, and RLDRAM II commonI/O (CIO) interfaces), or uni-directional signals (in QDR II+, QDR II SRAM, andRLDRAM II separate I/O (SIO) devices). Connect the uni-directional read data signalsto Stratix III DQ pins and the uni-directional write data signals to a different DQS/DQgroup other than the read DQS/DQ group. You must assign the write clocks to theDQS/DQSn pins associated to this write DQS/DQ group. Do not use the CQ/CQnpin-pair for write clocks.1Using a DQS/DQ group for write data signals minimizes output skew, allows access to the write leveling circuitry (for DDR3 SDRAM interfaces), and allows for verticalmigration. These pins also have access to deskewing circuitry that can compensate fordelay mismatch between signals on the bus.f For more information about pin planning, refer to Section I. Device and Pin Planningchapter in volume 2 of the External Memory Interface Handbook.The DQS and DQ pin locations are fixed in the pin table. Memory interface circuitry isavailable in every Stratix III I/O bank. All memory interface pins support the I/Ostandards required to support DDR3, DDR2, DDR SDRAM, QDR II+, QDR II SRAM,and RLDRAM II devices.The Stratix III device supports DQS and DQ signals with DQ bus modes of ×4, ×8/×9,×16/×18, or ×32/×36, although not all devices support DQS bus mode ×32/×36.When any of these pins are not used for memory interfacing, you can use them as userI/Os. In addition, you can use any DQSn or CQn pins not used for clocking as DQ(data) pins. Table8–1 lists pin support per DQS/DQ bus mode, including theDQS/CQ and DQSn/CQn pin pair.。
FPGA可编程逻辑器件芯片EP1S30B956I6N中文规格书
If the Auto-restart configuration after error option is turned on, thedevices release their nSTATUS pins after a reset time-out period(maximum of 100µs). After all nSTATUS pins are released and pulledhigh, the MAX II device can try to reconfigure the chain without needingto pulse nCONFIG low. If this option is turned off, the MAX II device mustgenerate a low-to-high transition (with a low pulse of at least 2µs) onnCONFIG to restart the configuration process.In your system, you can have multiple devices that contain the sameconfiguration data. To support this configuration scheme, all device nCEinputs are tied to GND, while nCEO pins are left floating. All otherconfiguration pins (nCONFIG , nSTATUS , DCLK , DATA0, and CONF_DONE )are connected to every device in the chain. Configuration signals canrequire buffering to ensure signal integrity and prevent clock skewproblems. Ensure that the DCLK and DATA lines are buffered for everyfourth device. Devices must be the same density and package. All deviceswill start and complete configuration at the same time. Figure 7–19 showsmulti-device PS configuration when both Stratix II or Stratix II GX devices are receiving the same configuration data.Figure 7–19.Multiple-Device PS Configuration When Both devices Receive the Same DataNotes to Figure 7–19:(1)The pull-up resistor should be connected to a supply that provides an acceptable input signal for all devices in the chain. V CC should be high enough to meet the V IH specification of the I/O on the device and the external host.(2)The nCEO pins of both devices are left unconnected when configuring the same configuration data into multiple devices.You can use a single configuration chain to configure Stratix II orStratix II GX devices with other Altera devices. To ensure that all devicesin the chain complete configuration at the same time or that an errorflagged by one device initiates reconfiguration in all devices, all of thedevice CONF_DONE and nSTATUS pins must be tied together.Passive Serial ConfigurationFigure7–21.Single Device PS Configuration Using an Enhanced Configuration DeviceNotes to Figure7–21:(1)The pull-up resistor should be connected to the same supply voltage as the configuration device.(2)The nINIT_CONF pin is available on enhanced configuration devices and has an internal pull-up resistor that isalways active, meaning an external pull-up resistor should not be used on the nINIT_CONF-nCONFIG line. The nINIT_CONF pin does not need to be connected if its functionality is not used. If nINIT_CONF is not used, nCONFIG must be pulled to V CC either directly or through a resistor.(3)The enhanced configuration devices’ OE and nCS pins have internal programmable pull-up resistors. If internalpull-up resistors are used, external pull-up resistors should not be used on these pins. The internal pull-up resistors are used by default in the Quartus II software. To turn off the internal pull-up resistors, check the Disable nCS and OE pull-ups on configuration device option when generating programming files.f The value of the internal pull-up resistors on the enhanced configurationdevices can be found in the Operating Conditions table of the EnhancedConfiguration Devices (EPC4, EPC8, & EPC16) D ata Sheet chapter involume 2 of the Configuration Handbook or the Configuration Devices forSRAM-based LUT Devices Data Sheet chapter in volume 2 of theConfiguration Handbook.When using enhanced configuration devices, nCONFIG of the device canbe connected to nINIT_CONF of the configuration device, which allowsthe INIT_CONF JTAG instruction to initiate device configuration. ThenINIT_CONF pin does not need to be connected if its functionality is notused. An internal pull-up resistor on the nINIT_CONF pin is alwaysactive in enhanced configuration devices, which means an externalpull-up resistor should not be used if nCONFIG is tied to nINIT_CONF.Upon power-up, the Stratix II and Stratix II GX devices go through aPOR. The POR delay is dependent on the PORSEL pin setting. WhenPORSEL is driven low, the POR time is approximately 100ms. If PORSELis driven high, the POR time is approximately 12 ms. During POR, thedevice will reset, hold nSTATUS low, and tri-state all user I/O pins. Theconfiguration device also goes through a POR delay to allow the powersupply to stabilize. The POR time for EPC2 devices is 200 ms (maximum).The POR time for enhanced configuration devices can be set to either100ms or 2ms, depending on its PORSEL pin setting. If the PORSEL pinis connected to GND, the POR delay is 100 ms. If the PORSEL pin isconnected to V CC, the POR delay is 2 ms. During this time, theconfiguration device drives its OE pin low. This low signal delaysconfiguration because the OE pin is connected to the target device’snSTATUS pin.1When selecting a POR time, you need to ensure that the device completes power-up before the enhanced configuration deviceexits POR. Altera recommends that you choose a POR time forthe Stratix II or Stratix II GX device of 12ms, while selecting aPOR time for the enhanced configuration device of 100ms.When both devices complete POR, they release their open-drain OE ornSTATUS pin, which is then pulled high by a pull-up resistor. Once thedevice successfully exits POR, all user I/O pins continue to be tri-stated.If nIO_pullup is driven low during power-up and configuration, theuser I/O pins and dual-purpose I/O pins will have weak pull-upresistors which are on (after POR) before and during configuration. IfnIO_pullup is driven high, the weak pull-up resistors are disabled.f The value of the weak pull-up resistors on the I/O pins that are on beforeand during configuration can be found in the DC & SwitchingCharacteristics chapter in volume 2 of the Stratix II Device Handbook or theDC & Switching Characteristics chapter in volume 2 of the Stratix II GXDevice Handbook.When the power supplies have reached the appropriate operatingvoltages, the target device senses the low-to-high transition on nCONFIGand initiates the configuration cycle. The configuration cycle consists ofthree stages: reset, configuration, and initialization. While nCONFIG ornSTATUS are low, the device is in reset. The beginning of configurationcan be delayed by holding the nCONFIG or nSTATUS pin low.1To begin configuration, power the V CCINT, V CCIO, and V CCPDvoltages (for the banks where the configuration and JTAG pinsreside) to the appropriate voltage levels.When nCONFIG goes high, the device comes out of reset and releases thenSTATUS pin, which is pulled high by a pull-up resistor. Enhancedconfiguration and EPC2 devices have an optional internal pull-up resistoron the OE pin. This option is available in the Quartus II software from theGeneral tab of the Device & Pin Options dialog box. If this internalpull-up resistor is not used, an external 10-k pull-up resistor on theOE-nSTATUS line is required. Once nSTATUS is released, the device isready to receive configuration data and the configuration stage begins.Passive Serial ConfigurationFigure7–23.Concurrent PS Configuration of Multiple Devices Using anEnhanced Configuration DeviceNotes to Figure7–23:(1)The pull-up resistor should be connected to the same supply voltage as theconfiguration device.(2)The nINIT_CONF pin is available on enhanced configuration devices and has aninternal pull-up resistor that is always active, meaning an external pull-up resistorshould not be used on the nINIT_CONF-nCONFIG line. The nINIT_CONF pin doesnot need to be connected if its functionality is not used. If nINIT_CONF is not used,nCONFIG must be pulled to V CC either directly or through a resistor.(3)The enhanced configuration devices’ OE and nCS pins have internalprogrammable pull-up resistors. If internal pull-up resistors are used, externalpull-up resistors should not be used on these pins. The internal pull-up resistorsare used by default in the Quartus II software. To turn off the internal pull-upresistors, check the Disable nCS and OE pull-ups on configuration device optionwhen generating programming files.。
FPGA可编程逻辑器件芯片EP1S30F1020I7N中文规格书
Fast PLLsStratix II devices contain up to eight fast PLLs with high-speed serial interfacing ability. Figure 2–45 shows a diagram of the fast PLL.Figure 2–45.Stratix II Device Fast PLLNotes (1), (2), (3)Notes to Figure 2–45:(1)The global or regional clock input can be driven by an output from another PLL, a pin-driven dedicated global or regional clock, or through a clock control block, provided the clock control block is fed by an output from another PLL or a pin-driven dedicated global or regional clock. An internally generated global signal cannot drive the PLL.(2)In high-speed differential I/O support mode, this high-speed PLL clock feeds the SERDES circuitry. Stratix II devices only support one rate of data transfer per fast PLL in high-speed differential I/O support mode.(3)This signal is a differential I/O SERDES control signal.(4)Stratix II fast PLLs only support manual clock switchover.(5)If the design enables this ÷2 counter, then the device can use a VCO frequency range of 150 to 520 MHz.fSee the PLLs in Stratix II & Stratix II GX Devices chapter in volume 2 of the Stratix II Device Handbook or the Stratix II GX Device Handbook for more information on enhanced and fast PLLs. See “High-SpeedDifferential I/O with DPA Support” on page 2–96 for more information on high-speed differential I/O support.I/O StructureThe Stratix II IOEs provide many features, including:■Dedicated differential and single-ended I/O buffers ■ 3.3-V , 64-bit, 66-MHz PCI compliance■ 3.3-V , 64-bit, 133-MHz PCI-X 1.0 compliance■Joint Test Action Group (JTAG) boundary-scan test (BST) support ■On-chip driver series termination ■On-chip parallel termination■On-chip termination for differential standards ■Programmable pull-up during configurationStratix II ArchitectureI/O StructureTable 2–15 shows the possible settings for the I/O standards with drive strength control.Open-Drain OutputStratix II devices provide an optional open-drain (equivalent to an open-collector) output for each I/O pin. This open-drain output enables the device to provide system-level control signals (e.g., interrupt and write-enable signals) that can be asserted by any of several devices.Bus HoldEach Stratix II device I/O pin provides an optional bus-hold feature. The bus-hold circuitry can weakly hold the signal on an I/O pin at itslast-driven state. Since the bus-hold feature holds the last-driven state of the pin until the next input signal is present, you do not need an external pull-up or pull-down resistor to hold a signal level when the bus is tri-stated.Table 2–15.Programmable Drive Strength Note (1)I/O StandardI OH / I OL Current Strength Setting (mA) for ColumnI/O PinsI OH / I OL Current Strength Setting (mA) for Row I/OPins3.3-V LVTTL 24, 20, 16, 12, 8, 412, 8, 43.3-V LVCMOS 24, 20, 16, 12, 8, 48, 42.5-V LVTTL/LVCMOS 16, 12, 8, 412, 8, 41.8-V LVTTL/LVCMOS 12, 10, 8, 6, 4, 28, 6, 4, 21.5-V LVCMOS 8, 6, 4, 24, 2SSTL-2 Class I 12, 812, 8SSTL-2 Class II 24, 20, 1616SSTL-18 Class I 12, 10, 8, 6, 410, 8, 6, 4SSTL-18 Class II 20, 18, 16, 8-HSTL-18 Class I 12, 10, 8, 6, 412, 10, 8, 6, 4HSTL-18 Class II 20, 18, 16-HSTL-15 Class I 12, 10, 8, 6, 48, 6, 4HSTL-15 Class II 20, 18, 16-Note to Table 2–15:(1)The Quartus II software default current setting is the maximum setting for each I/O standard.Stratix II ArchitectureI/O Structure■ 1.5-V HSTL Class I and II■ 1.8-V HSTL Class I and II■ 1.2-V HSTL■SSTL-2 Class I and II■SSTL-18 Class I and IITable2–16 describes the I/O standards supported by Stratix II devices. Table2–16.Stratix II Supported I/O Standards (Part 1 of2)I/O Standard TypeInput ReferenceVoltage (V REF) (V)Output SupplyVoltage (V CCIO) (V)Board TerminationVoltage (V TT) (V)LVTTL Single-ended- 3.3-LVCMOS Single-ended- 3.3-2.5 V Single-ended- 2.5-1.8 V Single-ended- 1.8-1.5-V LVCMOS Single-ended- 1.5-3.3-V PCI Single-ended- 3.3-3.3-V PCI-X mode 1Single-ended- 3.3-LVDS Differential- 2.5 (3)-LVPECL (1)Differential- 3.3-HyperTransport technology Differential- 2.5-Differential 1.5-V HSTLClass I and II (2)Differential0.75 1.50.75Differential 1.8-V HSTLClass I and II (2)Differential0.90 1.80.90Differential SSTL-18 ClassI and II (2)Differential0.90 1.80.90Differential SSTL-2 Class Iand II (2)Differential 1.25 2.5 1.25 1.2-V HSTL(4)Voltage-referenced0.6 1.20.6 1.5-V HSTL Class I and II Voltage-referenced0.75 1.50.75 1.8-V HSTL Class I and II Voltage-referenced0.9 1.80.9 SSTL-18 Class I and II Voltage-referenced0.90 1.80.90。
FPGA可编程逻辑器件芯片EP3C10U256C8中文规格书
2.3.2.2.4. GPIO Intel FPGA IP Interface SignalsDepending on parameter settings you specify, different interface signals are available for the GPIO IP .Figure 15.GPIO IP InterfacesFigure 16.GPIO Interface SignalsTable 13.Pad Interface SignalsThe pad interface is the physical connection from the GPIO IP to the pad. This interface can be an input, output or bidirectional interface, depending on the IP configuration. In this table, SIZE is the data width specified in the IP parameter editor .Signal Name WidthDirectionDescription pad_in[SIZE -1:0]SIZEInput Input signal from the pad.pad_in_b[SIZE -1:0]SIZEInput Negative node of the differential input signal from the pad. This port is available if you turn on the Use differential buffer option.pad_out[SIZE -1:0]SIZEOutput Output signal to the pad.pad_out_b[SIZE -1:0]SIZEOutput Negative node of the differential output signal to the pad. This port is available if you turn on the Use differential buffer option.pad_io[SIZE -1:0]SIZEBidirectional Bidirectional signal connection with the pad.pad_io_b[SIZE -1:0]SIZE Bidirectional Negative node of the differential bidirectional signal connection with thepad. This port is available if you turn on the Use differential buffer option.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackTable 14.Data Interface SignalsThe data interface is an input or output interface from the GPIO IP to the FPGA core. In this table, SIZE is thedata width specified in the IP parameter editor.Signal Name Width Direction Descriptiondin[DATA_SIZE-1:0]•Bypass or simple register—DATA_SIZE = SIZE•DDIO without half-rate logic—DATA_SIZE = 2 × SIZE•DDIO with half-rate logic—DATA_SIZE = 4 × SIZE Input Data input from the FPGA core inoutput or bidirectional mode.DATA_SIZE depends on the registermode:dout[DATA_SIZE-1:0]•Bypass or simple register—DATA_SIZE = SIZE•DDIO without half-rate logic—DATA_SIZE = 2 × SIZE•DDIO with half-rate logic—DATA_SIZE = 4 × SIZE Output Data output to the FPGA core in input or bidirectional mode, DATA_SIZEdepends on the register mode:oe[OE_SIZE-1:0]•Bypass or simple register—OE_SIZE = SIZE•DDIO without half-rate logic—OE_SIZE = SIZE•DDIO with half-rate logic—OE_SIZE = 2 × SIZE Input OE input from the FPGA core in output mode with Enable output enable portturned on, or bidirectional mode. OE isactive high. When transmitting data,set this signal to 1. When receivingdata, set this signal to 0. OE_SIZEdepends on the register mode:For x4 DQ group implementation, referto I/O Pins Placement Restrictions formore information.Table 15.Clock Interface SignalsThe clock interface is an input clock interface. It consists of different signals, depending on the configuration.The GPIO IP can have zero, one, two, or four clock inputs. Clock ports appear differently in differentconfigurations to reflect the actual function performed by the clock signal.Signal Name Width Direction Descriptionck1Input In input and output paths, this clock feeds a packed register or DDIO if you turn off theHalf Rate logic parameter.In bidirectional mode, this clock is the unique clock for the input and output paths if youturn off the Separate input/output Clocks parameter.ck_fr1Input In input and output paths, these clocks feed the full-rate and half-rate DDIOs if you turnon the Half Rate logic parameter.In bidirectional mode, the input and output paths use these clocks if you turn off theSeparate input/output Clocks parameter.ck_hr1ck_in1Input In bidirectional mode, these clocks feed a packed register or DDIO in the input andoutput paths if you specify both these settings:•Turn off the Half Rate logic parameter.•Turn on the Separate input/output Clocks parameter.ck_out1ck_fr_in1Input In bidirectional mode, these clocks feed a full-rate and half-rate DDIOS in the input andoutput paths if you specify both these settings•Turn on the Half Rate logic parameter.•Turn on the Separate input/output Clocks parameter.For example, ck_fr_out feeds the full-rate DDIO in the output path.ck_fr_out1ck_hr_in1ck_hr_out1cke1Input Clock enable.For x4 DQ group implementation, refer to I/O Pins Placement Restrictions for moreinformation.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackTable 16.Termination Interface SignalsThe termination interface connects the GPIO IP to the I/O buffers.Signal Name Width DirectionDescription terminationcontrol1Input Input from the termination control block (OCT) to the buffers. It sets thebuffer series and parallel impedance values.Table 17.Reset Interface Signals The reset interface connects the GPIO IP to the DDIOs.Signal Name Width DirectionDescription sclr 1Input Synchronous clear input. Not available if you set Enable synchronous clear / presetport to None or Preset .For x4 DQ group implementation, refer to I/O Pins Placement Restrictions for more information.aclr 1Input Asynchronous clear input. Active high. Not available if you set Enable asynchronousclear / preset port to None or Preset .For x4 DQ group implementation, refer to I/O Pins Placement Restrictions for more information.aset 1Input Asynchronous set input. Active high. Not available if you set Enable asynchronousclear / preset port to None or Clear .For x4 DQ group implementation, refer to I/O Pins Placement Restrictions for more information.sset 1InputSynchronous set input. Not available if you set Enable synchronous clear / preset portto None or Clear .For x4 DQ group implementation, refer to I/O Pins Placement Restrictions for moreinformation.Related InformationPlacement Requirements on page 120Shared Signals•The input, output, and OE paths share the same clear and preset signals.•The output and OE path shares the same clock signals.Data Bit-Order for Data InterfaceFigure 17.Data Bit-Order ConventionThis figure shows the bit-order convention for the din , dout and oe data signals.SIZE - 1...0t 3SIZE - 1...0t 2SIZE - 1...0t 1SIZE - 1...0t 0 4 x SIZESIZE - 1...0t 1SIZE - 1...0t 0 2 x SIZE SIZE - 1...0SIZE 2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EP3C10U256I7中文规格书
Related InformationAN 433: Constraining and Analyzing Source-Synchronous Interfaces Describes techniques for constraining and analyzing source-synchronous interfaces.Single Data Rate Input RegisterFigure 27.Single Data Rate Input RegisterTable 23.Single Data Rate Input Register .sdc Command Examples Command Command Example Descriptioncreate_clock create_clock -name sdr_in_clk -period "100 MHz" sdr_in_clk Creates clock setting for the input clock.set_input_delay set_input_delay -clock sdr_in_clk 0.15 sdr_in_dataInstructs the Timing Analyzer to analyze the timing of the input I/O with a 0.15 ns inputdelay.Full-Rate or Half-Rate DDIO Input RegisterThe input side of the full-rate and half-rate DDIO input registers are the same. You can properly constrain the system by using a virtual clock to model the off-chip transmitter to the FPGA.Figure 28.Full-Rate or Half-Rate DDIO Input RegisterOutside FPGA FPGA2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackTable 24.Full-Rate or Half-Rate DDIO Input Register .sdc Command Examples Command Command Example Descriptioncreate_clockcreate_clock -name virtual_clock-period "200 MHz"create_clock -name ddio_in_clk-period "200 MHz" ddio_in_clkCreate clock setting for the virtual clock and the DDIO clock.set_input_delay set_input_delay -clock virtual_clock0.25 ddio_in_dataset_input_delay -add_delay-clock_fall -clock virtual_clock 0.25ddio_in_dataInstruct the Timing Analyzer to analyze the positive clock edge and the negative clock edge of the transfer . Note the -add_delay in the second set_input_delay command.set_false_path set_false_path -fall_fromvirtual_clock -rise_to ddio_in_clkset_false_path -rise_fromvirtual_clock -fall_to ddio_in_clk Instruct the Timing Analyzer to ignore the positive clock edge to the negative edge triggered register , and the negative clock edge to the positive edge triggered register .Note: The ck_hr frequency must be half theck_fr frequency. If the I/O PLL drivesthe clocks, you can consider using thederive_pll_clocks .sdccommand.Single Data Rate Output RegisterFigure 29.Single Data Rate Output RegisterTable 25.Single Data Rate Output Register .sdc Command ExamplesCommand Command Example Descriptioncreate_clock andcreate_generated_clock create_clock -name sdr_out_clk -period "100 MHz" sdr_out_clk create_generated_clock -sourcesdr_out_clk -name sdr_out_outclksdr_out_outclkGenerate the source clock and the output clock to transmit.set_output_delay set_output_delay -clock sdr_out_clk0.45 sdr_out_data Instructs the Timing Analyzer to analyze the output data to transmit against the output clock to transmit.2.3.2.5.5. Timing Closure GuidelinesFor the GPIO input registers, the input I/O transfer is likely to fail hold time if you do not set the input delay chain. This failure is caused by the clock delay being larger than the data delay.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackHowever , if the I/O PLL drives the clocks of the GPIO input registers (simple register or DDIO mode), you can set the compensation mode to source synchronous mode.The Fitter automatically configures the I/O PLL to improve the setup and hold slack for the input I/O timing analysis.For the GPIO output and output enable registers, you can add delay to the output data and clock using the output and output enable delay chains.•If you observe setup time violation, you can increase the output clock delay chain setting.•If you observe hold time violation, you can increase the output data delay chain setting.2.3.2.6. GPIO Intel FPGA IP Design ExamplesThe GPIO IP can generate design examples that match your IP configuration in the parameter editor . You can use these design examples as references for instantiating the IP and reviewing the expected behavior in simulations.You can generate the design examples from the GPIO IP parameter editor . After setting the parameters that you want, click Generate Example Design . The IP generates the design example source files in the directory you specify.Figure 30.Source Files in the Generated Design Example Directoryed_sim.qsysed_synth.qsysmake_qii_design.tclmake_sim_design.tclparams.tclreadme.txtNote: The .qsys files are for internal use during design example generation only. Youcannot edit these .qsys files.2.3.2.6.1. GPIO Intel FPGA IP Synthesizable Intel Quartus Prime Design ExampleThe synthesizable design example is a compilation-ready Platform Designer system that you can include in an Intel Quartus Prime project.Generating and Using the Design ExampleTo generate the synthesizable Intel Quartus Prime design example from the source files, run the following command in the design example directory:quartus_sh -t make_qii_design.tcl To specify an exact device to use, run the following command:quartus_sh -t make_qii_design.tcl [device_name]2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EPM2210GF256C5N中文规格书
Stratix II GX Device Handbook, Volume 1FeaturesStratix II GX devices are available in space-saving FineLine BGApackages (refer to Table 1–2). All Stratix II GX devices support verticalmigration within the same package. Vertical migration means that youcan migrate to devices whose dedicated pins, configuration pins, andpower pins are the same for a given package across device densities. ForI/O pin migration across densities, you must cross-reference the availableI/O pins using the device pin-outs for all planned densities of a givenpackage type to identify which I/O pins are migratable. Table 1–3 lists theStratix II GX device package sizes.Package 780-pin FineLine BGA 780-pin FineLine BGA 1,152-pin FineLine BGA1,152-pinFineLineBGA 1,508-pin FineLine BGA 1,508-pin FineLine BGA Note to Table 1–1:(1)Includes two sets of dual-purpose differential pins that can be used as two additional channels for the differentialreceiver or differential clock inputs.Table 1–1.Stratix II GX Device Features (Part 2 of 2)FeatureEP2SGX30C/D EP2SGX60C/D/E EP2SGX90E/F EP2SGX130/G C D C D E E F G Table 1–2.Stratix II GX Package Options (Pin Counts and Transceiver Channels)Device Transceiver Channels Source-SynchronousChannelsMaximum User I/O Pin Count Receive (1)Transmit 780-Pin FineLine BGA (29mm)1,152-Pin FineLine BGA (35mm)1,508-Pin FineLine BGA (40mm)EP2SGX30C 43129361——EP2SGX60C 43129364——EP2SGX30D 83129361——EP2SGX60D 83129364——EP2SGX60E 124242—534—EP2SGX90E 124745—558—EP2SGX90F 165959——650EP2SGX130G207371——734Note to Table 1–2:(1)Includes two differential clock inputs that can also be used as two additional channels for the differential receiver.Stratix II GX Architecture Figure2–48.C4 Interconnect Connections Note(1)Note to Figure2–48:(1)Each C4 interconnect can drive either up or down four rows.Stratix II GX Device Handbook, Volume 1I/O StructureThe bus-hold circuitry also pulls undriven pins away from the inputthreshold voltage where noise can cause unintended high-frequencyswitching. You can select this feature individually for each I/O pin. Thebus-hold output drives no higher than V CCIO to prevent overdrivingsignals. If the bus-hold feature is enabled, the programmable pull-upoption cannot be used. Disable the bus-hold feature when the I/O pin hasbeen configured for differential signals.The bus-hold circuitry uses a resistor with a nominal resistance (RBH) ofapproximately 7 kΩ to pull the signal level to the last-driven state.f Refer to the DC & Switching Characteristics chapter in volume 1 of theStratix II GX Device Handbook for the specific sustaining current driventhrough this resistor and overdrive current used to identify thenext-driven input level. This information is provided for each V CCIOvoltage level.The bus-hold circuitry is active only after configuration. When going intouser mode, the bus-hold circuit captures the value on the pin present atthe end of configuration.Programmable Pull-Up ResistorEach Stratix II GX device I/O pin provides an optional programmablepull-up resistor during user mode. If you enable this feature for an I/Opin, the pull-up resistor (typically 25 kΩ) holds the output to the V CCIOlevel of the output pin’s bank.Programmable pull-up resistors are only supported on user I/O pins andare not supported on dedicated configuration pins, JTAG pins, ordedicated clock pins.Advanced I/O Standard SupportThe Stratix II GX device IOEs support the following I/O standards:■ 3.3-V LVTTL/LVCMOS■ 2.5-V LVTTL/LVCMOS■ 1.8-V LVTTL/LVCMOS■ 1.5-V LVCMOS■ 3.3-V PCI■ 3.3-V PCI-X mode 1■LVDS■LVPECL (on input and output clocks only)■Differential 1.5-V HSTL class I and II■Differential 1.8-V HSTL class I and II■Differential SSTL-18 class I and IIStratix II GX Device Handbook, Volume 1。
FPGA可编程逻辑器件芯片EP3C10U256I7N中文规格书
The TCL script creates a qii directory that contains the ed_synth.qpf project file.You can open and compile this project in the Intel Quartus Prime software.2.3.2.6.2. GPIO Intel FPGA IP Simulation Design ExampleThe simulation design example uses your GPIO IP parameter settings to build the IP instance connected to a simulation driver . The driver generates random traffic and internally checks the legality of the out going data.Using the design example, you can run a simulation using a single command,depending on the simulator that you use. The simulation demonstrates how you can use the GPIO IP .Generating and Using the Design ExampleTo generate the simulation design example from the source files for a Verilog simulator , run the following command in the design example directory:quartus_sh -t make_sim_design.tclTo generate the simulation design example from the source files for a VHDL simulator ,run the following command in the design example directory:quartus_sh -t make_sim_design.tcl VHDLThe TCL script creates a sim directory that contains subdirectories—one for each supported simulation tool. You can find the scripts for each simulation tool in the corresponding directories.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback3.Intel Agilex I/O Termination3.1. Single-Ended I/O Termination in Intel Agilex DevicesIntel Agilex devices support on-chip termination for single-ended I/O standards. OCT maintains signal quality, saves board space, and reduces external component costs.Figure 31.R S and R T OCTThis figure shows the single-ended termination schemes supported in Intel Agilex devices. R T1 and R T2 are dynamic parallel terminations and are enabled only if the device is receiving. In bidirectional applications, R T1and R T23.1.1. Single-Ended I/O Standard OCT TerminationSerial (R S ) and parallel (R T ) OCT provides I/O impedance matching and termination capabilities. OCT maintains signal quality, saves board space, and reduces external component costs.The OCT calibration circuit uses the impedance of the external resistor that is connected to the RZQ pin as reference. The impedance of the I/O buffer is continuously altered until the target impedance is achieved during OCT calibration.The targeted impedance is achieved when the impedance of I/O buffer reaches a predetermined ratio to the reference resistance.Table 26.OCT Schemes Supported in Intel Agilex Devices DirectionOCT Schemes Output R S OCT with calibrationR S OCT without calibrationInputR T OCT with calibration Bidirectional Dynamic R S and R T OCTUG-20214 | 2021.04.05Send FeedbackISO 9001:2015Registered3.1.1.1. R S OCTIntel Agilex devices support R S OCT with and without calibration for single-ended and voltage-referenced I/O standards.OCT SchemeDescription R S without calibration •Only supported on output buffer .•Driver-impedance matching provides the I/O driver with a controlled output impedance that closely matches the impedance of the transmission line.R S with calibration •The OCT calibration circuit uses the impedance of the external resistor that is connected to the RZQ pin as reference. The impedance of the I/O buffer is continuously altered until the target impedance is achieved during OCT calibration. The targeted impedance is achieved when the impedance of I/O buffer reaches a predetermined ratio to the reference resistance.•Calibration occurs at the end of device configuration. When the calibration circuit finds the correct impedance, the circuit powers down and stops changing the characteristics of the drivers.•You may trigger re-calibration during user-mode.Figure 32.R S OCT Without CalibrationFigure 33.R S OCT with Calibration3.Intel Agilex I/O TerminationUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EP3C10U256C7中文规格书
2.3.2.1. Release InformationIntel FPGA IP versions match the Intel Quartus Prime Design Suite software versions until v19.1. Starting in Intel Quartus Prime Design Suite software version 19.2, Intel FPGA IP has a new versioning scheme.The Intel FPGA IP version (X.Y .Z) number can change with each Intel Quartus Prime software version. A change in:•X indicates a major revision of the IP . If you update the Intel Quartus Prime software, you must regenerate the IP .•Y indicates the IP includes new features. Regenerate your IP to include these new features.•Z indicates the IP includes minor changes. Regenerate your IP to include these changes.Table 8.GPIO Intel FPGA IP Release Information Item DescriptionIP Version 20.0.0Intel Quartus Prime Version 21.1Release Date2021.03.29Related InformationGPIO Intel FPGA IP Release Notes2.3.2.2. GPIO Intel FPGA IP Quick Start Guide2.3.2.2.1. Generating the GPIO Intel FPGA IP (Intel Quartus Prime Pro Edition)Double-click the GPIO Intel FPGA IP in the IP Catalog to launch the parameter editor .The parameter editor allows you to define a custom variation of the IP . The parameter editor generates the IP variation synthesis and optional simulation files, and addsthe .ip file representing the variation to your project automatically.Follow these steps to locate, instantiate, and customize the IP in the parameter editor:1.Create or open an Intel Quartus Prime project (.qpf ) to contain the instantiated IP variation.2.In the IP Catalog (Tools ➤ IP Catalog ), locate and double-click the GPIO Intel FPGA IP to customize.3.Specify a top-level name for your custom IP variation. Do not include spaces in IP variation names or paths. The parameter editor saves the IP variation settings in afile named <your_ip>.ip . Click OK . The parameter editor appears.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackFigure 13.GPIO Intel FPGA IP Parameter Editor4.Set the parameter values in the parameter editor and view the block diagram for the component. The Parameterization Messages tab at the bottom displays any errors in IP parameters.5.Click Generate HDL . The Generation dialog box appears.6.Specify output file generation options, and then click Generate . The synthesis and simulation files generate according to your specifications.7.To generate a simulation testbench, click Generate ➤ Generate Testbench System . Specify testbench generation options, and then click Generate .8.To generate an HDL instantiation template that you can copy and paste into your text editor , click Generate ➤ Show Instantiation Template .9.Click Finish . Click Yes if prompted to add files representing the IP variation to your project.10.After generating and instantiating your IP variation, make appropriate pin assignments to connect ports.2.3.2.2.2. GPIO Intel FPGA IP Parameter SettingsYou can set the parameter settings for the GPIO IP in the Intel Quartus Prime software. There are three groups of options: General , Buffer , and Registers .Table 9.General2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackParameter Condition Values Default DescriptionNote: The behaviors of these ports are different than in the Stratix V, Arria V, and Cyclone V devices. For the migration guideline, refer to the related information.Table 10.GPIO IP Core Parameters - Buffer Parameter ConditionValues Default Description Use differential buffer —•On •Off Off If turned on, enables differential I/O e pseudo differential buffer •Data Direction =Output •Use differential buffer = On •On •Off Off If turned on in output mode, enables pseudo differential output buffers.This option is automatically turned on for bidirectional mode if you turn on Use differential buffer .Use bus-hold circuitry •Data Direction =Input or Bidir •Use differential buffer= Off •On •Off Off If turned on, the bus-hold circuitry can weakly hold the signal on an I/O pin at its last-driven state where the output buffer state is either 1 or 0 but not high-impedance.Use open drain output •Data Direction =Output or Bidir •Use differential buffer = Off •On •Off Off If turned on, the open-drain output enables the device to provide system-level control signals such as interrupt and write enable signals that can be asserted by multiple devices in your system.Enable output enable port Data Direction =Output •On •Off Off If turned on, enables user input to the OE port. This option is automatically turned on for bidirectional mode.Enable seriestermination /paralleltermination ports —•On •Off OffIf turned on, enables theterminationcontrol port of theoutput buffer to allow users to use user-mode OCT calibration.Table 11.Registers2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EP3C10U256C6N中文规格书
Table 6.Assignment Editor, Pin Planner, and GPIO Intel FPGA IP Descriptions ToolsDescription Supported IOE Features/ I/O Assignment Supported I/O Standards Assignment Editor You can view, create and edit assignments with this tool. The Intel Quartus Prime software dynamically validates changes that you make through the editor and issues errors or warnings for invalid assignments.•Programmable open-drain output •Programmable slew rate control •Programmable I/O delay •Programmable bus-hold •Programmable weak pull-up resistor •Programmable pre-emphasis •Programmable V OD•OCT• 1.2 V LVCMOS •SSTL-12•HSTL-12•POD12•HSUL-12•Differential SSTL-12•Differential HSTL-12•Differential HSUL-12•Differential POD-12•True Differential Signaling Pin Planner Provides a graphical representation of the pin locations of an Intel Agilex device. This tool allows you to do initial pin planning for your device. You can locate, place, and make assignments to the I/O pins using this tool. You can also configure board trace models for the pins you selected to use in signal integrity reports.•Programmable slew rate control•Programmable bus-hold•Programmable weak pull-up resistor•OCT • 1.2 V LVCMOS •SSTL-12•HSTL-12•POD12•HSUL-12•Differential SSTL-12•Differential HSTL-12•Differential HSUL-12•Differential POD-12•True Differential Signaling GPIO Intel FPGA IP You can instantiate the GPIO Intel FPGA IP and customize the IP design using parameter editor in the Intel Quartus Prime software.•SDR transfer •DDIO transfer•Programmable open-drain output •Output enable •OCT2.3.1. Configuring I/O Assignments in Intel Quartus Prime SoftwareThe following table lists the assignment names for programmable IOE settings that you can use in assignment editor and pin planner .Table 7.Intel Agilex Programmable IOE Features Settings and Assignment Name2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackFeature Intel Quartus PrimeAssignment Name Value ConditionPre-emphasisProgrammable Pre-emphasis 0 (Off), 1 (Low Power), 2(Const Z). Default is 1.—De-emphasis Programmable De-emphasis High, High Const Z, Low,Low Const Z, Medium,Medium Const Z, OFF .Default is OFF .—Differential Output Voltage Programmable Differential Output Voltage (VOD)0 (low), 1 (medium low), 2(medium high), 3 (high).Default is 2.—Schmitt Trigger Input Buffer Schmitt Trigger On, Off. Default is On.—2.3.1.1. Configuring I/O Assignments Using Assignment EditorIntel Quartus Prime Assignment Editor (Assignments ➤ Assignment Editor )provides a spreadsheet-like interface for assigning all instance-specific settings and constraints. To help you explore your design, the Assignment Editor allows you to filter assignments by node name or category.Figure 11.Intel Quartus Prime Assignment EditorSteps to assign I/O standards for your pins:1.In the To column, search for the pin that you want to configure.2.In the Assignment Name column, select I/O Standard (Accepts wildcards)from the drop-down list.3.In the Value column, select the desired I/O standard from the drop-down list.4.Click save to save your changes.Steps to assign programmable I/O elements for your pins:2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback1.In the To column, search for the pin that you want to configure.2.In the Assignment Name column, select the desired programmable IOE features as listed in Table 7 on page 23 from the drop-down list.3.In the Value column, select a value based on Table 7 on page 23 from the drop-down list.4.Click save to save your changes.2.3.1.2. Configuring I/O Standards Using Pin PlannerYou can use the Intel Quartus Prime Pin Planner for I/O pin assignment planning,assignment, and validation:1.In the Intel Quartus Prime software, click Assignments ➤ Pin Planner to open the pin planner tool.Figure 12.Pin Planner Tool23452.At the bottom of the pin planner window, in the Node Name column, search for the pin that you want to configure.3.In the Location column, select the desired pin location for a specific pin from the drop-down list.4.When you select a pin location, the I/O Bank column shows the I/O bank name where the pin resides. The I/O banks are differentiated by colors shown in the Top View - Flip Chip diagram.5.In the I/O Standard column, select the desired I/O standards from the drop-down list.Note: If you select True Differential Signaling as the I/O standard, a negative node is automatically added into the list with a specific pin location.2.3.2. Using GPIO Intel FPGA IP for I/O ImplementationThe GPIO Intel FPGA IP includes features to support the device I/O blocks. You can use the Intel Quartus Prime parameter editor to configure the GPIO Intel FPGA IP .2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EP3C10U256C7N中文规格书
Parameter Condition Values DefaultDescription •None —specifies a simple wire connection from/to the buffer .•Simple register —specifies that theDDIO is used as a simple register in single data-rate mode (SDR). The Fitter may pack this register in the I/O.•DDIO — specifies that the IP uses the DDIO.Enable synchronous clear / preset port •Register mode =DDIO •None •Clear •Preset None Specifies how to implement synchronous reset port.•None —Disables synchronous reset port.•Clear —Enables the SCLR port forsynchronous clears.•Preset —Enables the SSET port forsynchronous preset.Enable asynchronous clear / preset port •Register mode =DDIO •None •Clear •Preset NoneSpecifies how to implement asynchronous reset port.•None —Disables asynchronous reset port.•Clear —Enables the ACLR port forasynchronous clears.•Preset —Enables the ASET port forasynchronous preset.ACLR and ASET signals are active high.Enable clock enable ports Register mode =DDIO •On •Off Off •On —exposes the clock enable (CKE ) port to allow you to controlwhen data is clocked in or out. This signal prevents data from being passed through without your control.•Off —clock enable port is not exposed and data always pass through the register automatically.Half Rate logic Register mode =DDIO •On •Off Off If turned on, enables half-rate DDIO.Refer to Input Path Waveform in DDIO Mode with Half-Rate Conversion figure in Input Path section.Separate input /output Clocks •Data Direction =Bidir •Register mode =Simple register or DDIO •On •Off OffIf turned on, enables separate clocks(CK_IN and CK_OUT ) for the input andoutput paths in bidirectional mode.Related Information•Input Path on page 37•Guideline: Swap datain_h and datain_l Ports in Migrated IP on page 352.3.2.2.3. IP Core Generation Output (Intel Quartus Prime Pro Edition)The Intel Quartus Prime software generates the following output file structure for individual IP cores that are not part of a Platform Designer system.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackFigure 14.Individual IP Core Generation Output (Intel Quartus Prime Pro Edition)or .vhd - Lists file for IP core synthesis- Lists files for IP core synthesis- IP Submodule Library.v or .vhd - Top-level IP synthesis file- Simulator setup scripts<simulator_setup_scripts> - IP generation report- Block symbol schematic file- XML I/O pin information file- Simulation startup scripts*- VHDL component declaration.v or vhd - Top-level simulation file- IP submodule 1 synthesis files- Verilog HDL black box EDA synthesis file <HDL files><HDL files>- IP testbench system *_tb.qsys - testbench system file_tb - IP testbench files_tb.csv or .spd - testbench file*- Simulation caching file (Platform Designer)- Synthesis caching file (Platform Designer)Table 12.Output Files of Intel FPGA IP Generation2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send FeedbackFile Name Description<your_ip >.qgsimc (Platform Designer systems only)Simulation caching file that compares the .qsys and .ip files with the current parameterization of the Platform Designer system and IP core. This comparison determines if Platform Designer can skip regeneration of the HDL.<your_ip >.qgsynth (Platform Designer systems only)Synthesis caching file that compares the .qsys and .ip files with the current parameterization of the Platform Designer system and IP core. This comparison determines if Platform Designer can skip regeneration of the HDL.<your_ip >.csv Contains information about the upgrade status of the IP component.<your_ip>.bsf A symbol representation of the IP variation for use in Block Diagram Files(.bdf ).<your_ip >.spd Input file that ip-make-simscript requires to generate simulation scripts.The .spd file contains a list of files you generate for simulation, along with information about memories that you initialize.<your_ip >.ppf The Pin Planner File (.ppf ) stores the port and node assignments for IP components you create for use with the Pin Planner .<your_ip >_bb.v Use the Verilog blackbox (_bb.v ) file as an empty module declaration for use as a blackbox.<your_ip >_inst.v or _inst.vhd HDL example instantiation template. Copy and paste the contents of this file into your HDL file to instantiate the IP variation.<your_ip >.regmap If the IP contains register information, the Intel Quartus Prime software generates the .regmap file. The .regmap file describes the register map information of master and slave interfaces. This file complementsthe .sopcinfo file by providing more detailed register information about the system. This file enables register display views and user customizable statistics in System Console.<your_ip >.svdAllows HPS System Debug tools to view the register maps of peripherals that connect to HPS within a Platform Designer system.During synthesis, the Intel Quartus Prime software stores the .svd files for slave interface visible to the System Console masters in the .sof file in the debug session. System Console reads this section, which Platform Designer queries for register map information. For system slaves, Platform Designer accesses the registers by name.<your_ip >.v<your_ip >.vhdHDL files that instantiate each submodule or child IP core for synthesis or simulation.mentor/Contains a msim_setup.tcl script to set up and run a ModelSim* simulation.aldec/Contains a Riviera-PRO* script rivierapro_setup.tcl to setup and run a simulation./synopsys/vcs/synopsys/vcsmxContains a shell script vcs_setup.sh to set up and run a VCS* simulation.Contains a shell script vcsmx_setup.sh and synopsys_sim.setup file to set up and run a VCS MX simulation./cadenceContains a shell script ncsim_setup.sh and other setup files to set up and run an NCSim simulation./xceliumContains an Xcelium* Parallel simulator shell script xcelium_setup.sh and other setup files to set up and run a simulation./submodulesContains HDL files for the IP core submodule.<IP submodule >/Platform Designer generates /synth and /sim sub-directories for each IPsubmodule directory that Platform Designer generates.2.Intel Agilex I/O Features and UsageUG-20214 | 2021.04.05Send Feedback。
FPGA可编程逻辑器件芯片EP1C6Q240I7N中文规格书
Programming ExamplesP0.H = hi(SPI0_TDBR); /* SPI Transmit Register */P0.L = lo(SPI0_TDBR);R0 = W[P1++] (z); /* Get First Data To Be Transmitted And Increment Pointer */W[P0] = R0; /* Write to SPI0_TDBR */P0.H = hi(SPI0_RDBR);P0.L = lo(SPI0_RDBR);R0 = W[P0] (z); /* Dummy read of SPI0_RDBR kicks off transfer */Post Transfer and Next TransferFollowing the transfer of data, the SPI generates an interrupt, which is ser-viced if the interrupt is enabled during initialization. In the interruptroutine, software must write the next value to be transmitted prior toreading the byte received. This is because a read of the SPI0_RDBR initiates the next transfer.Listing 22-3. SPI0 Interrupt HandlerSPI0_Interrupt_Handler:Process_SPI0_Sample:P0.H = hi(SPI0_TDBR); /* SPI0 transmit register */P0.L = lo(SPI0_TDBR);R0 = W[P1++](z); /* Get next data to be transmitted */W[P0] = R0.l; /* Write that data to SPI0_TDBR */Kick_Off_Next:P0.H = hi(SPI0_RDBR); /* SPI0 receive register */P0.L = lo(SPI0_RDBR);R0 = W[P0] (z); /* Read SPI0 receive register (also kicks off next transfer) */OverviewOverviewEach TWI is fully compatible with the widely used I2C bus standard. It was designed with a high level of functionality and is compatible with multi-master, multi-slave bus configurations.To preserve processor bandwidth the TWI controller can be set up with transfer initiated interrupts only to service FIFO buffer data reads and writes. Protocol related interrupts are optional.Each TWI externally moves 8-bit data while maintaining compliance with the I2C bus protocol. The TWI controllers include these features:•Simultaneous master and slave operation on multiple device systems•Support for multi-master bus arbitration•7-bit addressing•100K bits/second and 400K bits/second data rates•General call address support•Master clock synchronization and support for clock low extension•Separate multiple-byte receive and transmit FIFOs•Low interrupt rate•Individual override control of data and clock lines in the event of bus lock-up•Input filter for spike suppression•Serial camera control bus support as specified in OmniVision Serial Camera Control Bus (SCCB) Functional Specification version 2.1.。
FPGA可编程逻辑器件芯片EP1S60F1020I5N中文规格书
2
150 – 240 150 – 230 150 – 200 150 – 200 36°, 72°, 108°, 144°
3
180 – 300 180 – 290 180 – 250 180 – 250 45°, 90°,135°, 180°
4
240 – 370 240 – 350 240 – 310 240 – 310 30°, 60°, 90°,120°
Table 1–5. Stratix III I/O Pin Leakage Current (Note 1), (2)
Symbol
Parameter
Conditions
Min Typ Max Unit
II
Input Pin Leakage Current
VI = VCCIOMAX to 0 V
-10
Table 1–41. Stratix III IOE Programmable Delay (Note 1)
Fast Model
C2 C3 C4
C4L
I3 I4
I4L
Industrial Commercial
Parameter
Available Settings
Min Offset
(2)
VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = 1.1V 1.1V 1.1V 1.1V 0.9V 1.1V 1.1V 1.1V 0.9V Unit
Low overdrive current
Min Max Min Max Min Max Min Max Min Max
ISUSL
VIN>VIL (maximum)
FPGA可编程逻辑器件芯片EP3C16U256C6N中文规格书
920
966
1657 1739 1904 2013 ps
892
936
1585 1663 1821 1916 ps
912
958
1651 1733 1897 2006 ps
877
919
1385 1453 1591 1680 ps
897
941
1451 1523 1667 1770 ps
879
921
1394 1462 1602 1691 ps
VSWING AC differential input voltage (AC)
VISO
Input clock signal offset
voltage
ΔVISO
Input clock signal offset voltage variation
VOX (AC)
AC differential cross point voltage
Stratix II Device Handbook, Volume 1
DC & Switching Characteristics
Table 5–17. SSTL-18 Class II Specifications
Symbol
Parameter
Conditions
Minimum
Typical Maximum Unit
Figure 4–2 shows a transistor level cross section of the Stratix II device I/O buffers. This design ensures that the output buffers do not drive when VCCIO is powered before VCCINT or if the I/O pad voltage is higher than VCCIO. This also applies for sudden voltage spikes during hot insertion. There is no current path from signal I/O pins to VCCINT or VCCIO or VCCPD during hot insertion. The VPAD leakage current charges the 3.3-V tolerant circuit capacitance.
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Advanced FeaturesclkenaIf the system cannot tolerate the higher output frequencies when usingpfdena higher value, the clkena signals can disable the output clocksuntil the PLL locks. The clkena signals control the regional, global, andexternal clock outputs. The clkena signals are registered on the fallingedge of the counter output clock to enable or disable the clock withoutglitches. See Figure1–56 in the “Clock Control Block” section onpage1–86 of this document for more information about the clkenasignals.Advanced Features Stratix II and Stratix II GX PLLs offer a variety of advanced features, such as counter cascading, clock switchover, PLL reconfiguration, reconfigurable bandwidth, and spread-spectrum clocking. Table1–14 shows which advanced features are available in which type of Stratix II or Stratix II GX PLL.Counter CascadingThe Stratix II and Stratix II GX enhanced PLL supports counter cascading to create post-scale counters larger than 512. This is implemented by feeding the output of one counter into the input of the next counter in a cascade chain, as shown in Figure1–16.Table1–14.Stratix II and Stratix II GX PLL Advanced FeaturesAdvanced FeatureAvailability Enhanced PLLs Fast PLLs(1)Counter cascading vClock switchover v vPLL reconfiguration v v Reconfigurable bandwidth v v Spread-spectrum clocking vNote to Table1–14:(1)Stratix II and Stratix II GX fast PLLs only support manual clock switchover, notautomatic clock switchover.PLLs in Stratix II and Stratix II GX Devices The switch-over state machine has two counters that count the edges of the primary and the secondary clocks; counter0 counts the number of inclk0 edges and counter1 counts the number of inclk1 edges. The counters get reset to zero when the count values reach 1, 1; 1, 2; 2, 1; or 2, 2 for i nclock0 and i nclock1, respectively. For example, if counter0 counts two edges, its count is set to two and if counter1 counts two edges before the counter0 sees another edge, they are both reset to 0. If for some reason one of the counters counts to three, it means the other clock missed an edge. The clkbad0 or clkbad1 signal goes high, and the switchover circuitry signals a switch condition. See Figure1–19.Advanced Featuresswitchover circuit is edge-sensitive, the falling edge of the clkswitchsignal does not cause the circuit to switch back from inclk1 to inclk0.When the clkswitch signal goes high again, the process repeats.clkswitch and automatic switch only work if the clock being switchedto is available. If the clock is not available, the state machine waits untilthe clock is available.Figure1–20.Clock Switchover Using the CLKSWITCH Control Note(1)Note to Figure1–20:(1)Both inclk0 and inclk1 must be running when the clkswitch signal goes high to initiate a manual clockswitchover event. Failing to meet this requirement causes the clock switchover to not function properly.Figure1–21 shows a simulation of using switchover for two differentreference frequencies. In this example simulation, the reference clock is=100 MHz and is allowedeither 100 or 66 MHz. The PLL begins with fINto lock. At 20 s, the clock is switched to the secondary clock, which is at66 MHz.Reconfigurable BandwidthThe charge pump current directly affects the PLL bandwidth. The higherthe charge pump current, the higher the PLL bandwidth. You can choosefrom a fixed set of values for the charge pump current. Figure1–31 showsthe loop filter and the components that can be set through the Quartus IIsoftware. The components are the loop filter resistor, R, and the highfrequency capacitor, C H, and the charge pump current, I UP or I DN.Figure1–31.Loop Filter Programmable ComponentsSoftware SupportThe Quartus II software provides two levels of bandwidth control.Megafunction-Based Bandwidth SettingThe first level of programmable bandwidth allows you to enter a valuefor the desired bandwidth directly into the Quartus II software using thealtpll megafunction. You can also set the bandwidth parameter in thealtpll megafunction to the desired bandwidth. The Quartus II softwareselects the best bandwidth parameters available to match yourbandwidth request. If the individual bandwidth setting request is notavailable, the Quartus II software selects the closest achievable value.Advanced Bandwidth SettingAn advanced level of control is also possible using advanced loop filterparameters. You can dynamically change the charge pump current, loopfilter resistor value, and the loop filter (high frequency) capacitor value.PLLs in Stratix II and Stratix II GX DevicesSoftware SupportYou can enter the desired down-spread percentage and modulationfrequency in the altpll megafunction through the Quartus II software.Alternatively, the downspread parameter in the altpll megafunctioncan be set to the desired down-spread percentage. Timing analysisensures the design operates at the maximum spread frequency and meetsall timing requirements.f For more information about PLL software support in the Quartus IIsoftware, see the altpll Megafunction User Guide.GuidelinesIf the design cascades PLLs, the source (upstream) PLL should have alow-bandwidth setting, while the destination (downstream) PLL shouldhave a high-bandwidth setting. The upstream PLL must have alow-bandwidth setting because a PLL does not generate jitter higher thanits bandwidth. The downstream PLL must have a high bandwidth settingto track the jitter. The design must use the spread-spectrum feature in alow-bandwidth PLL, and, therefore, the Quartus II softwareautomatically sets the spread-spectrum PLL bandwidth to low.1If the programmable or reconfigurable bandwidth features are used, then you cannot use spread spectrum.Stratix II and Stratix II GX devices can accept a spread-spectrum inputwith typical modulation frequencies. However, the device cannotautomatically detect that the input is a spread-spectrum signal. Instead,the input signal looks like deterministic jitter at the input of thedownstream PLL.Spread spectrum can have a minor effect on the output clock byincreasing the period jitter. Period jitter is the deviation of a clock’s cycletime from its previous cycle position. Period jitter measures the variationof the clock output transition from its ideal position over consecutiveedges.With down-spread modulation, the peak of the modulated waveform isthe actual target frequency. Therefore, the system never exceeds themaximum clock speed. To maintain reliable communication, the entiresystem and subsystem should use the Stratix II and Stratix II GX deviceas the clock source. Communication could fail if the Stratix II orStratix II GX logic array is clocked by the spread-spectrum clock, but thedata it receives from another device is not clocked by the spreadspectrum.。