数字系统设计技术实验指导书
数字电子技术综合实训指导书
数字电子技术综合实训指导书实训指导书
目录
第一节课程设计的目的及要求---------------------------------- 3 第二节数字电子电路的设计方法 --------------------------------4 第三节数字电路的安装、调试方法-------------------------------9 第四节数字电路设计内容及原理简介-----------------------------14 第五节设计说明书的要求---------------------------------------19
第一节综合实训的目的及要求
一、目的
要紧目的,是提高学生在数字集成电路应用方面的实践技能,培养学生综合运用理论知识解决实际问题的能力,树立严谨的科学作风,。学生通过电路设计、安装、调试、整理资料等环节,初步把握工程设计思想与方法,训练组织电路开发工作的差不多技能,学会编写设计文件,逐步了解开展科学实践的程序。
二、差不多要求
通过课程设计各环节的实践,同学们应达到如下要求:
1.把握数字电路分析和设计的差不多方法;
2.把握数字电路的安装、调试以及故障分析的专业技能;
3.具备查阅资料,应用资料分析和解决问题的能力。
三、课程设计的任务:
1.完成一规定电路的安装与调试
2.完成一任选电路的设计、安装和调试
四、课程设计完成的内容:
1.数字电路设计书
2.符合设计功能的电路
第二节数字电子电路的设计方法
数字电路系统一样由输入电路、操纵电路、输出电路、时钟电路、脉冲产生电路和电源等部分组成。
数字电子技术实验指导书
数字电子技术实验指导书
数字实验部分
实验一 TTL、CMOS门电路逻辑功能测试
一、实验目的
1、熟悉TTL、CMOS门电路的外型和管脚排列。
2、了解TTL、CMOS门电路的原理、性能和使用方法。
3、学习逻辑门电路功能测试方法,并测“与非”、“或非”、“与或非”门及传输门电路的逻辑功能,验证门电路逻辑功能。
4、初步学会DLB-6型数字逻辑实验箱的结构和使用方法。
二、实验内容说明
组成数字逻辑电路的基本单元有两大部分,一部分是门电路,另一部分是触发器。
门电路实际上是一种条件开关电路,只有在输入信号满足一定的逻辑条件时,开关电路才允许信号通过,否则信号就不能通过,即门电路的输出信号与输入信号之间存在着一定的逻辑关系,故又称之为逻辑门电路。
最基本的逻辑门路有“与”门、“或”门及“非”门电路,但常用的则是“与非”门、“或非”门、“与或非”门以及“异或”门等具有复合逻辑功能的门电路。
以前逻辑电路都是用分立元件组成,现在大量使用的则是集成门电路,若按电路中晶体管导电类型分,集成门电路可分为双极型和单极型两大类。双极型中应用最多的是晶体管——晶体管逻辑门电路,即TTL门电路。单极型的有金属——氧化物——半导体互补对称逻辑门电路,即CMOS门电路。
图
图1-1 图1-2
1、TTL“与非”门电路。图1-1a所示为TTL集成“与非”门的典型电路,图b
为其逻辑符号。电路中V1称为多发射极晶体管,其等效电路如图1-2所示,相当于一个“与门”电路;V2起放大及电平转移作用;V5起反相作用,用于实现逻辑“非”运算;V3和V4组成两级射极输出器,用以改善门电路的输出特性。其逻辑表达为:F=C
现代数字系统设计实验指导书
FPGA应用与开发
实验指导书
目录
实验一:Quartus软件操作 (4)
1.Quartus II 的文本编辑输入法 (4)
2.Quartus II 的图形编辑输入法 (6)
实验二:简单的组合逻辑电路设计 (9)
1.四舍五入判别电路............................................................ 错误!未定义书签。
2.控灯电路............................................................................ 错误!未定义书签。
3.优先权排队电路................................................................ 错误!未定义书签。实验三:显示译码电路.............................................................. 错误!未定义书签。
1.数字循环显示.................................................................... 错误!未定义书签。
2.字母循环显示电路............................................................ 错误!未定义书签。实验四:BCD码加法电路........................................................... 错误!未定义书签。
数字电路与系统设计实验
实验四 基于VHDL的逻辑电路设计
实验五 数字系统设计综合实验
实验一 基本逻辑门电路实验
一、基本逻辑门电路性能(参数)测试
(四)实验提示 (一)实验目的 (三)实验内容 • 1.将器件的引脚7与实验台的“地(GND)”连 1.掌握TTL与非门、与或非门和异或门输入与输 • 接,将器件的引脚14与实验台的十5V连接。 1.测试二输入四与非门74LS00一个与非 出之间的逻辑关系。 门的输入和输出之间的逻辑关系。 • 2.用实验台的电平开关输出作为被测器件的输入。 2.熟悉TTL中、小规模集成电路的外型、管脚和 • 使用方法。 2.测试二输入四或非门74LS02一个或非 拨动开关,则改变器件的输入电平。 门的输入和输出之间的逻辑关系。 (二)实验所用器件 • 3.将被测器件的输出引脚与实验台上的电平指示 灯(LED)连接。指示灯亮表示输出低电平(逻辑为 1片 • l.二输入四与非门74LS00 3.测试二输入四异或门74LS86一个异或 0),指示灯灭表示输出高电平(逻辑为1)。 2.二输入四或非门74LS02 1片 门的输入和输出之间的逻辑关系。 3.二输入四异或门74LS86 1片
这包括实验方案的正确性、可行性如何? 可否进一步优化?有哪些收获体会?有哪 些经验教训?有哪些建议?等。
第二章 实验基本仪器
数字系统设计实验所需设备有:
直流稳压电源,示波器,基于CPLD的
数电实验指导书教材
数字示波器
信号源模块
单脉冲模块
时钟源及分频电路
数码管接口电路
逻辑芯片接口区
逻辑电平输入及输出显示模块
功能扩展区
2
提供4路固定电源
DC输出
纹波、噪声
+5V
3A
50mV
-5V
1A
50mV
+12V
0.3A
120mV
-12V
0.3A
120mV
提供2路直流可调信号源
调节范围:
+1.25V~+11V(RV7调节)
(2)CMOS集成电路
1)不用的输入端不允许悬空,应根据逻辑需要接VDD或VSS端,或将它们与使用的输入端并联,不允许悬空。
2)在工作或测试时,必须先接通电源,再加入信号。工作结束后,应先撤除信号,再关闭电源。
3)不可在接通电源的情况下插入或拔出组件。
4)输入信号不可大于VDD或小于VSS。
5)焊接时,电烙铁接地要可靠,或使电路铁断电后,用余热快速焊接。贮存,一般用金属箔或导电泡棉将组件各脚管短路。
4.图3.1-1是几种集成门电路外型及引脚排列。
(a)74LS00(b)74LS32
(c) 74LS02 (d) 74LS86 (e) 74LS20
图3.1-1
四、预习要求
了解数字实验仪的使用方法。
数字系统综合课程设计指导书
数字系统综合课程设计指导书
适用专业:计算机科学与技术、通信工程、信息管理与信息系统
课程设计时间:4周
一、课程设计目的
1.通过本课程设计,培养上机动手能力,使学生巩固这门课程学习的内容,掌握本课程的基本方法,强化动手能力,培养设计思维;
2.为后续各门课程的学习打下坚实基础。
二、课程设计内容
用数字电路的相关知识来完成本次课设;
题目参见相关文档;
三、课程设计任务
1.任务:在五周内至少正确地完成一个给定的题目。
2.学生完成设计后,找老师检查设计是否正确,学生开始在实验室进行验证,直到得出正确的结果为止:
四、课程设计考核方式
按考核评定表给出学生成绩。
五、提交的资料
1)电子材料
设计电路图、设计报告、参考文献(用在什么位置)。(格式按要求完成)2)纸制材料
成绩评定表
数字系统课程设计指导书
数字系统课程设计题目见下文
具体的设计详见下表:
(1)课程设计的实施细则;
(2)课程设计报告格式要求;
(3)课程设计成绩评定表;
(4)详见课程设计规程;
(5)题目要求
(1)课程设计实施细则表
一、面向教师的课程设计实施细则:
1、教师先向学生布置设计任务书;规定设计技术指标和相关其它要求。
2、课程设计任务书应写明:
(1)题目
(2)主要技术指标和要求,
(3)给定条件及原始数据,
(4)所用仪器设备,
(5)参考文献
3、教师讲授必要的电路原理和设计方法,着重帮助学生明确任务,掌握工程设计的基本方
法。其余时间,主要是学生自学。
二、面向学生的课程设计实施规则
1、学生必须在所规定的时间里按时到实验室。
2、有事或生病的同学必须请假(有假条)。
数字系统设计综合实验报告
数字系统设计综合实验报告
实验名称:1、加法器设计
2、编码器设计
3、译码器设计
4、数据选择器设计
5、计数器设计
6、累加器设计
7、交通灯控制器设计
班级:
姓名:
学号:
指导老师:
实验1 加法器设计
1)实验目的
(1)复习加法器的分类及工作原理。
(2)掌握用图形法设计半加器的方法。
(3)掌握用元件例化法设计全加器的方法。
(4)掌握用元件例化法设计多位加法器的方法。
(5)掌握用Verilog HDL语言设计多位加法器的方法。
(6)学习运用波形仿真验证程序的正确性。
(7)学习定时分析工具的使用方法。
2)实验原理
加法器是能够实现二进制加法运算的电路,是构成计算机中算术运算电路的基本单元。目前,在数字计算机中,无论加、减、乘、除法运算,都是化为若干步加法运算来完成的。加法器可分为1位加法器和多位加法器两大类。1位加法器有可分为半加器和全加器两种,多位加法器可分为串行进位加法器和超前进位加法器两种。
(1)半加器
如果不考虑来自低位的进位而将两个1位二进制数相加,称半加。实现半加运算的电路则称为半加器。若设A和B是两个1位的加数,S 是两者相加的和,C是向高位的进位。则由二进制加法运算规则可以得到。
(2)全加器
在将两个1位二进制数相加时,除了最低位以外,每一位都应该考虑
来自低位的进位,即将两个对应位的加数和来自低位的进位三个数相加,这种运算称全加。实现全加运算的电路则称为全加器。
若设A、B、CI分别是两个1位的加数、来自低位的进位,S是相加的和,C是向高位的进位。则由二进制加法运算规则可以得到:3)实验内容及步骤
数字电子技术课程设计——指导书
数字电子技术课程设计电子系统设计(CPLD)
指导书
主要技术:EDA;MAX+plus Ⅱ;FPGA;EPLD
设计题目:1、简易电子琴
2、数字式秒表
3、数字式竞赛抢答器
4、交通信号灯控制器
5、脉冲序列检测器
6、简易数字频率计
7、A/D采样控制器
8、任意波形发生器
南通大学电子信息学院
二00五年六月
课程设计指导书一、目的:
课程设计是教学中的一个重要环节,对学生来说是一次工程技术人员工作的锻炼,通过课程设计,学生不仅可以系统地复习、巩固本课程的基本知识,而且还可以学到如何把书本上的知识运用于解决工程实际问题的方法。
随着电子技术的迅猛发展,传统的电子设计方法设计周期长、设计成本高、安装调试复杂,尤其是大规模、较复杂的电子线路设计,已明显跟不上电子技术飞速发展的需要。本课程设计采用电子线路计算机辅助设计手段,让学生掌握最新的数字电子线路设计和分析方法(MAX+plus Ⅱ),培养学生运用先进的电子设计自动化(EDA)技术进行电子线路设计和分析的能力。通过本课程设计,学生应达到以下要求:
1、掌握电子线路的一般设计方法及步骤;
2、较熟练地使用电子线路计算机辅助设计软件(MAX+plus Ⅱ);
3、比较熟练地使用常用的集成电路、晶体管元器件手则;
4、学会运用计算机辅助设计软件(MAX+plus Ⅱ)对所设计的电子线路进行分析和调试;
5、学会编制设计文件、绘制较为复杂的电子线路图。
二、要求:
1、设计与计算
学生根据所选课题的任务、要求和条件进行总体案的设计,通过论证与选择,确定总体方案。此后运用计算机辅助设计软件(MAX+plus Ⅱ)对方案中单元电路进行详细的逻辑设计(包括元器件的选用和电路参数的计算)、仿真分析,同时画出电路及仿真图。
电子系统设计实验指导书(FPGA基础篇Vivado版)
东南大学 电子科学与工程学院
assign c2=a|b; assign c3=~a; assign c4=~(a&b); assign c5=~(a|b); assign c6=a^b; endmodule 寄存器传输描述方式源程序: module gate(a,b,c1,c2,c3,c4,c5,c6); input a,b; output c1,c2,c3,c4,c5,c6; reg c1,c2,c3,c4,c5,c6; always@(a or b) begin case({a,b}) 2'b00: begin c1<=0;c2<=0;c3<=1;c4<=1;c5<=1;c6<=0; end 2'b01: begin c1<=0;c2<=1;c3<=1;c4<=1;c5<=0;c6<=1; end 2'b10: begin c1<=0;c2<=1;c3<=0;c4<=1;c5<=0;c6<=1; end 2'b11: begin c1<=1;c2<=1;c3<=0;c4<=0;c5<=0;c6<=0; end default: begin c1<=0;c2<=0;c3<=0;c4<=0;c5<=0; c6<=0; end endcase end endmodule (1) 进行语法检查和综合编译。 (2) 编写 testbench,进行时序仿真。
数字系统实验指导书1
数字系统实验指导书
实验一开发环境使用训练
实验二基本语法使用训练
实验三组合、时序逻辑电路设计实验四有限状态机设计
实验一开发环境使用训练
一、实验目的
1.掌握QuartusII使用方法;
2.掌握原理图输入设计方法。
二、实验仪器
1.微型计算机一台
2.QuartusII 软件
三、实验原理
1.运行QuartusII软件,原理图输入,进行设计。
图1 QuartusII软件界面
2. 3-8译码器原理图
图1 3-8译码器原理图3. 新建VHDL文件
参考程序:
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
Port ( aa: in std_logic_vector(2 downto 0);
qq: out std_logic_vector(7 downto 0));
end decoder;
architecture one of decoder is
begin
process(aa)
begin
case aa is
when “000” => qq<=”00000001”;
when “001” => qq<=”00000010”;
when “010” => qq<=”00000100”;
when “011” => qq<=”00001000”;
when “100” => qq<=”00010000”;
when “101” => qq<=”00100000”;
when “110” => qq<=”01000000”;
when “111” => qq<=”10000000”;
数字系统设计实验指导书
目录
目录 ................................................................................................................................................... I 第一章EDA实验板介绍 (1)
第二章基于QuartusII的数字系统设计 (4)
2.1 基于QuartusII的数字系统设计流程 (4)
2.2 QuartusII软件使用介绍 (5)
第三章实验内容 (28)
3.1 设计性实验 (28)
实验一、数字频率计的设计 (28)
实验二、PCM基群帧同步检测电路设计与实现 (29)
实验三、FIR滤波器的设计 (30)
实验四、自动销售机的设计 (32)
实验五:数字密码锁设计 (33)
3.2 综合性实验 (35)
实验一多功能秒表设计 (35)
实验二、红外遥控接系统设计 (36)
附录 (38)
EDA实验板顶层图 (38)
EDA实验板底层图 (39)
EDA实验板原理图 (40)
下载线原理图 (45)
第一章EDA实验板介绍
为配合设计性实验和综合性实验的顺利开展,华南理工大学自主开发了EDA实验平台,如图1-1所示。该平台选用了Altera公司的CYCLONE1系列的EP1C6Q240C8为基本配置,一上电就可以工作,无需其他芯片配合。该平台硬件资源丰富,主要包括电源稳压电路、流水灯、键盘、50M频率的晶振、4位七段数码管、FLASH、sdram、蜂鸣器、、USB接口、ps2接口、拨码开关等,各模块电路独立工作,可通过跳线设置是否与芯片连接。此外,可以外接配套的电平开关转接板,扩充1到16路电平拨码开关,为芯片的任意管脚输入高低电平。该实验平台配置灵活,能够完成多种实验和课程设计。
数字电路与系统设计实验报告
数字电路与系统设计实验报告
学院:班级:姓名:
实验一基本逻辑门电路实验
一、实验目的
1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。
2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。
二、实验设备
1、二输入四与非门74LS00 1片
2、二输入四或非门74LS02 1片
3、二输入四异或门74LS86 1片
三、实验内容
1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。
2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。
3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。
四、实验方法
1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。
2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。
3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。
五、实验过程
1、测试74LS00逻辑关系
(1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯)
(2)真值表
2、测试74LS02逻辑关系
(1)接线图
(2)真值表
3、测试74LS86逻辑关系接线图
(1)接线图
(2)真值表
六、实验结论与体会
实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。
实验二逻辑门控制电路实验
一、实验目的
《数字系统设计》实验指导书及综合作业
《数字系统设计》
实验报告
班级_______电信2班_________________ 姓名____潘俊俊_____________________ 学号___1309121091__________________
实验1 组合电路的设计
实验目的:
熟悉ISE的VHDL文本设计流程全过程,学习简单组合电路的设计、多层次电路设
计、仿真和硬件测试。
实验内容:
首先利用ISE完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。
实验程序:
ENTITY mux21a IS
PORT (a, b, s: IN BIT;
Y: OUT BIT);
END ENTITY mux21a;
ARCHITECTURE one OF mux21a IS
BEGIN
PROCESS (a, b, s)
BEGIN
IF s='0' THEN y<=a; ELSE y<=b;
END IF;
END PROCESS;
END ARCHITECTURE one;
实验结果:
1、综合电路图
2、 仿真时序图
实验分析:
在0—275ns 内,s=0, 则输出a 波形;
在275—685ns 内,s=1,则输出b 波形;
在685ns 以后,s=0, 则输出a 波形;
仿真结果与实验要求一致,故仿真结果正确。
实验2 时序电路的设计
实验目的:
熟悉ISE的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。实验任务:
设计一个带使能输入及同步清0的增1/减1的3位计数器,并仿真。
实验程序:
Library ieee;
Use ieee.std_logic_1164.all;
数字系统原理与设计课程设计指导书
数字系统原理与设计课程设计指导书
南通大学电子信息学院
2017年 2月
一、课程设计要求
1.完成课程设计,包括设计仿真与验证。
学生根据所选课题的任务、要求和条件进行总体的方案设计,通过论证与选择,确定总体方案。此后运用EDA软件对方案进行程序设计、仿真分析。
2.通过本次课程设计,提高系统设计能力,增强工程实践能力和创新能力。
3.撰写总结报告。
总结报告是学生对课程设计全过程的系统总结,学生应按规定格式撰写说明书,说明书主要内容有:
1)设计技术报告封面
封面上应写明设计题目、学生姓名、专业、年级、指导教师姓名。设计题目明确、简短,能反映设计的实质性内容。
2)摘要及关键词
应扼要叙述设计的主要内容和特点,文字简练。
3)目录
目录一般不超过3级,章节应编写所在的页码。
4)正文
正文应全面、准确的反映设计的指导思想、设计进行的主要工作和所取得的结论和成果,正文应包含一下内容:
(1)前言。应说明设计的目的、意义、市场需求;阐述本设计要解决的技术难题以及解决技术难点的指导思想和要预期达到的技术效果。
(2)设计方案论证。应说明设计原理并进行方案的选择,说明为什么要选择该设计方案(包括各种方案的分析、比较),还应阐述所采用的方案特点和设计的技术路线。
(3)计算部分。这部分在设计说明书中占有相当大的比例。在说明书中要列出各零件的工作条件、给定的参数、计算公式以及各主要参数计算的详细步骤和计算结果,并说明根据此计算应选用什么元器件和零部件。对需要使用的计算机的设计还应包括各种软件的设计。
(4)结构设计部分。这也是设计说明书的重要组成部分,应包括机械结构的设计、各种电气控制线路设计以及功能电路设计、计算机控制部件装置的设计等,以及以上各种设计所绘制的图纸。
《数字电子技术》实验指导书
《数字电子技术》实验指导书
《数字电子技术》实验指导书
主编
黑龙江农业工程职业学院
《数字电子技术》实验指导书主编
专业
班级
姓名
实验一:楼梯照明电路的设计
设计一个楼梯照明电路,装在一、二、三楼上的开关都能对楼梯上的同一个电灯进行开关控制。合理选择器件完成设计。
1.实验目的
(1)学会组合逻辑电路的设计方法。
(2)熟悉74系列通用逻辑芯片的功能。
(3)学会数字电路的调试方法。
(4)学会数字实验箱的使用。
2.实验前准备
(1)复习组合逻辑电路的设计方法。
(2)熟悉逻辑门电路的种类和功能。
(3)实验器材准备:数字电路实验箱、导线若干。
3.实验内容
1)分析设计要求,列出真值表。设A、B、C分别代表装在一、二、三楼的三个开关,规定开关向上为1,开关向下为0;照明灯用Y 代表,灯亮为1,灯暗为0。根据题意列出真值表如表1所示。
表1照明电路真值表
输入输出 A B C Y 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 2)根据真值表,写出逻辑函数表达式。
3)将输出逻辑函数表达式化简或转化形式。
4)根据输出逻辑函数画出逻辑图。如图1所示。
图1照明电路逻辑图
5)实验箱上搭建电路。将输入变量A、B、C分别接到数字逻辑开关k1(对应信号灯LED1)、k2(对应信号灯LED2)、k3(对应信号灯LED3)接线端上,输出端Y接到“电位显示”接线端上。将面包板的Ucc和“地”分别接到实验箱的+5V与“地”的接线柱上。检查无误后接通电源。
6)将输入变量A、B、C的状态按表2-19所示的要求变化,观察“电位显示”输出端的变化,并将结果记录到表2中。
数字系统设计及pld应用实验指导书
目录
第一部分实验开发系统概述及使用说明
一、实验系统概述 (4)
二、配套软件...................................... (4)
三、系统硬件组成................................ .. (4)
四、EDA(CPLD/FPGA)设计过程框图..... . (6)
五、硬件安装使用说明 (7)
六、软件简介及安装 (8)
七、实验指导例程序的简单说明 (11)
第二部分实验部分
实验一组合逻辑3-8译码器的设计 (11)
MaxplusII软件基本操作与应用 (11)
(一) 设计输入 (11)
(二) 电路的编译与适配 (15)
(三) 电路仿真与时序分析 (16)
(四) 管脚的重新分配与定位 (24)
(五) 器件的下载编程与硬件实现 (25)
实验二组合逻辑电路的设计 (29)
实验三触发器功能的模拟实现........................ (33)
实验四扫描显示电路的驱动 (36)
实验五计数器及时序电路 (39)
实验六数字钟(综合实验) (44)
实验七字符发生器 (46)
实验八函数信号发生器 (49)
实验九模拟信号的检测 (51)
附录 (54)
-1-
-2-
第二部分实验部分
实验一组合逻辑3-8译码器的设计
一、实验目的:
1、通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解可编程器件设计的全过程。
二、实验步骤:
MaxplusII软件的基本操作与应用
(一)设计输入:
1、软件的启动:进入Altera软件包,打开MAX+plus II 9.5软件,如图1-1所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Experiment 2 Designing Number Comparer
实验目的: 熟悉QuartusII 的开发环境
熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计
实验内容:数值比较器设计
实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程
设计输入使用插入模板
(Insert Template )
在QuartusII 开发环境下对设计程序进行时序仿真
将生成的配置文件下载到实验板,进行最终的实物测试验证
实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:
比较器特性表
比较器电路示意图
实验报告内容要求:
(1) 实验目的;
(2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。 Number
Comparer
A(3..0) B(3..0)
In_s In_l In_e
Yl Ye
Ys Y
Experiment 3 Designing 8 to1-Multiplxer
实验目的:熟悉QuartusII的开发环境
熟练掌握编程开发流程
学习VHDL的基本语法
学习VHDL编程设计
实验内容:八选一数据选择器设计。
实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template)
在QuartusII开发环境下对设计程序进行时序仿真
将生成的配置文件下载到实验板,进行最终的实物测试验证
实验原理:
电路功能表及其电路外部符号如下:
电路功能表
实验报告内容要求:
(1)实验目的;
(2)实验内容;
(3)实验要求;
(4)实验原理;
(5)程序编写;
(6)程序编译(首先选择器件具体型号);
(7)功能仿真和芯片时序仿真;
(8)芯片引脚设定;
(9)适配下载结果及结论。
Experiment 4 Designing module _60
实验目的:熟悉QuartusII的开发环境
熟练掌握编程开发流程
学习数字系统中层次化设计技巧
学习显示译码电路分频电路、计数电路的VHDL程序设计
学习结构化设计的VHDL程序设计
实验内容:基于数码管显示的60进制计数器设计
实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程
将50MHz信号分频为1Hz信号
以1Hz为60进制计数器使能信号,实现60进制计数功能
计数结果用两位数码管显示
计数进位持续时间1s用LED显示
设计位同步时序电路
在QuartusII开发环境下对设计程序进行时序仿真
将生成的配置文件下载到实验板,进行最终的实物测试验证
实验原理:先对50MHz时钟信号分频得到1Hz,然后调用两个10进制计数器,计数到59
时回到初始0的计数状态,每个10进制计数的技术结果通过显示译码送到两位数码管上显
示,结构框图如下:
50MHz
数码管
数码管
(1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写;
(6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 结论。
Experiment 5 Designing shift_register
实验目的: 熟悉QuartusII 的开发环境
熟练掌握编程开发流程 学习VHDL 的基本语法
学习数字系统中移位寄存器设计及其VHDL 编程
实验内容:移位寄存器设计
实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程
设计输入使用插入模板
(Insert Template )
在QuartusII 开发环境下对设计程序进行时序仿真
将生成的配置文件下载到实验板,进行最终的实物测试验证
实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为:
比较器特性表
比较器电路示意图
Shift_register
Sr sl
Data_in(3..0) clk clr load ctr
Data_q(3..0)
(9)实验目的;
(10)实验内容;
(11)实验要求;
(12)实验原理;
(13)程序编写;
(14)程序编译(首先选择器件具体型号);
(15)功能仿真和芯片时序仿真;
(16)芯片引脚设定;
(17)适配下载结果及结论。
实验2考程序
Library ieee;
Use ieee.std_logic_1164.all;
Entity compare_2 is
Port( data_a,data_b:in std_logic_vector(3 downto 0);
In_s,In_l,In_e:in std_logic;
Ys,Yl,Ye:out std_logic);
End compare_2;
Architecture rtl of compare_2 is
Signal tmps,tmpe: std_logic;
Begin
Ys<=tmps;
Ye <= tmpe;
Yl <= tmps nor tmpe;
Aa:process(data_a,data_b, In_e)
Begin
If(data_a = data_b and In_e = ‘1’) then
tmpe<=’1’;
else
tmpe<=’0’;
End if;
End process aa;
bb:process(data_a,data_b, In_s)
Begin
If(data_a tmps<=’1’; elsif(data_a=data_b and In_s=’1’) then tmps<=’1’;