EDA技术_项目6_计数显示译码的设计(应班)
eda数字课程设计
eda数字课程设计一、教学目标本课程的教学目标是让学生掌握EDA(电子设计自动化)的基本概念、原理和方法,能够运用EDA工具进行简单的电子系统设计和仿真。
具体分解为以下三个方面的目标:1.知识目标:学生能够理解EDA的基本概念、发展历程和主要应用领域;掌握常用的EDA工具及其使用方法;了解电子系统设计的基本流程。
2.技能目标:学生能够熟练运用至少一种EDA工具进行电子系统的设计和仿真;能够根据实际需求,选择合适的EDA工具和设计方法。
3.情感态度价值观目标:学生能够认识EDA技术在现代电子工业中的重要地位,体会科技创新对人类社会发展的贡献,激发对电子设计和EDA技术的兴趣和热情。
二、教学内容本课程的教学内容主要包括以下几个部分:1.EDA基本概念和原理:介绍EDA的定义、发展历程、主要应用领域和基本原理。
2.EDA工具及其使用:介绍常用的EDA工具(如Multisim、Proteus、Altium Designer等)的使用方法,包括电路设计、仿真和测试等。
3.电子系统设计流程:介绍电子系统设计的整个流程,包括需求分析、电路设计、PCB设计、仿真测试和生产制造等。
4.实例分析:通过具体案例,让学生了解和掌握如何运用EDA工具进行电子系统设计和仿真。
三、教学方法为了达到本课程的教学目标,我们将采用以下几种教学方法:1.讲授法:讲解EDA的基本概念、原理和电子系统设计流程。
2.案例分析法:通过分析具体案例,让学生了解和掌握如何运用EDA工具进行电子系统设计和仿真。
3.实验法:让学生动手操作,实际运用EDA工具进行电子系统设计和仿真。
4.小组讨论法:分组进行讨论,培养学生的团队协作能力和问题解决能力。
四、教学资源为了保证本课程的顺利进行,我们将准备以下教学资源:1.教材:选用具有权威性和实用性的EDA教材,为学生提供系统的理论知识和实践指导。
2.多媒体资料:制作课件、视频等资料,为学生提供直观的学习体验。
2023年大学_EDA技术与VHDL第二版(潘松著)课后习题答案下载
2023年EDA技术与VHDL第二版(潘松著)课后习题答案下载EDA技术与VHDL第二版(潘松著)课后答案下载第1章 EDA技术概述1.1 EDA技术及其发展1.1.1 EDA技术的发展1.1.2 EDA技术的涵义1.1.3 EDA技术的基本特征1.2 EDA技术的主要内容及主要的EDA厂商1.2.1 EDA技术的主要内容1.2.2 主要EDA厂商概述1.3 EDA技术实现目标1.3.1 超大规模可编程逻辑器件1.3.2 半定制或全定制ASIC1.3.3 混合ASIC1.4 EDA技术应用1.4.1 EDA技术应用形式1.4.2 EDA技术应用场合1.5 EDA技术的发展趋势1.5.1 可编程器件的发展趋势1.5.2 软件开发工具的发展趋势1.5.3 输入方式的发展趋势__小结思考题和习题第2章大规模可编程逻辑器件2.1 可编程逻辑器件概述2.1.1 PLD的'发展进程2.1.2 PLD的种类及分类方法2.2 简单可编程逻辑器件2.2.1 PLD电路的表示方法及有关符号 2.2.2 PROM基本结构2.2.3 PLA基本结构2.2.4 PAL基本结构2.2.5 GAL基本结构2.3 复杂可编程逻辑器件2.3.1 CPLD基本结构2.3.2 Altera公司器件2.4 现场可编程逻辑器件2.4.1 FPGA整体结构2.4.2 Xilinx公司FPGA器件2.5 在系统可编程逻辑器件2.5.1 ispLSl/pLSl的结构2.5.2 Lattice公司ispLSI系列器件 2.6 FPGA和CPLD的开发应用2.6.1 CPLD和FPGA的编程与配置2.6.2 FPGA和CPLD的性能比较2.6.3 FPGA和CPLD的应用选择__小结思考题和习题第3章 EDA设计流程与开发3.1 EDA设计流程3.1.1 设计输入3.1.2 综合3.1.3 适配3.1.4 时序仿真与功能仿真3.1.5 编程下载3.1.6 硬件测试3.2 ASIC及其设计流程3.2.1 ASIC设计方法3.2.2 一般的ASIC设计流程3.3 可编程逻辑器件的开发环境 3.4 硬件描述语言3.5 IP核__小结思考题和习题第4章硬件描述语言VHDL4.1 VHDL概述4.1.1 VHDL的发展历程4.1.2 VHDL的特点4.2 VHDL程序基本结构4.2.1 实体4.2.2 结构体4.2.3 库4.2.4 程序包4.2.5 配置4.3 VHDL基本要素4.3.1 文字规则4.3.2 数据对象4.3.3 数据类型4.3.4 运算操作符4.3.5 VHDL结构体描述方式 4.4 VHDL顺序语句4.4.1 赋值语句4.4.2 IF语句4.4.3 等待和断言语句4.4.4 cASE语句4.4.5 LOOP语句4.4.6 RETIARN语句4.4.7 过程调用语句4.4.8 REPORT语句4.5 VHDL并行语句4.5.1 进程语句4.5.2 块语句4.5.3 并行信号代人语句4.5.4 并行过程调用语句4.5.5 并行断言语句4.5.6 参数传递语句4.5.7 元件例化语句__小结思考题和习题第5章 QuartusⅡ软件及其应用5.1 基本设计流程5.1.1 建立工作库文件夹和编辑设计文件 5.1.2 创建工程5.1.3 编译前设计5.1.4 全程编译5.1.5 时序仿真5.1.6 应用RTL电路图观察器5.2 引脚设置和下载5.2.1 引脚锁定5.2.2 配置文件下载5.2.3 AS模式编程配置器件5.2.4 JTAG间接模式编程配置器件5.2.5 USBBlaster编程配置器件使用方法 __小结思考题和习题第6章 VHDL应用实例6.1 组合逻辑电路设计6.1.1 基本门电路设计6.1.2 译码器设计6.1.3 数据选择器设计6.1.4 三态门设计6.1.5 编码器设计6.1.6 数值比较器设计6.2 时序逻辑电路设计6.2.1 时钟信号和复位信号6.2.2 触发器设计6.2.3 寄存器和移位寄存器设计6.2.4 计数器设计6.2.5 存储器设计6.3 综合实例——数字秒表的设计__小结思考题和习题第7章状态机设计7.1 一般有限状态机7.1.1 数据类型定义语句7.1.2 为什么要使用状态机 7.1.3 一般有限状态机的设计 7.2 Moore型有限状态机设计 7.2.1 多进程有限状态机7.2.2 单进程有限状态机7.3 Mealy型有限状态机7.4 状态编码7.4.1 状态位直接输出型编码 7.4.2 顺序编码7.4.3 一位热码编码7.5 状态机处理__小结思考题和习题第8章 EDlA实验开发系统8.1 GW48型实验开发系统原理与应用8.1.1 系统性能及使用注意事项8.1.2 GW48系统主板结构与使用方法8.2 实验电路结构图8.2.1 实验电路信号资源符号图说明8.2.2 各实验电路结构图特点与适用范围简述8.3 GW48CK/GK/EK/PK2系统信号名与芯片引脚对照表 __小结思考题和习题第9章 EnA技术实验实验一:全加器的设计实验二:4位加减法器的设计实验三:基本D触发器的设计实验四:同步清零计数器的设计实验五:基本移位寄存器的设计串人/串出移位寄存器实验六:同步预置数串行输出移位寄存器的设计实验七:半整数分频器的设计实验八:音乐发生器的设计实验九:交通灯控制器的设计实验十:数字时钟的设计EDA技术与VHDL第二版(潘松著):内容简介《EDA技术与VHDL》主要内容有Altera公司可编程器件及器件的选用、QuartusⅡ开发工具的使用;VHDL硬件描述语言及丰富的数字电路和电子数字系统EDA设计实例。
eda译码器实验报告
eda译码器实验报告EDA译码器实验报告引言:本实验旨在通过使用EDA(Electronic Design Automation)软件,设计并验证一个译码器电路的功能和性能。
译码器是一种常见的数字电路,用于将输入的二进制代码转换为相应的输出信号。
在本实验中,我们将使用EDA软件进行电路设计、模拟和验证。
一、实验目的本实验的主要目的是通过设计和验证一个译码器电路,加深对EDA软件的理解和应用。
具体目标包括:1. 掌握EDA软件的基本操作和功能;2. 设计并实现一个译码器电路;3. 进行电路模拟和性能验证。
二、实验步骤1. EDA软件的安装与配置在开始实验之前,我们需要安装和配置EDA软件。
根据软件提供的安装向导,进行相应的操作,并确保软件能够正常运行。
2. 译码器电路的设计译码器电路是由多个逻辑门组成的,根据输入的二进制代码,将其转换为相应的输出信号。
在设计电路时,需要确定输入和输出的位数,并选择适当的逻辑门类型。
根据实验要求,我们选择了4位译码器电路作为设计目标。
3. 电路的连接与布线在EDA软件中,我们可以使用图形化界面进行电路的连接和布线。
首先,将所需的逻辑门拖拽到工作区,并根据电路设计的要求进行连接。
然后,通过布线功能将电路中的元件连接起来,确保信号能够正确传输。
4. 电路的模拟与验证在完成电路的连接和布线后,我们可以进行电路的模拟和验证。
通过EDA软件提供的仿真功能,输入不同的二进制代码,并观察输出信号的变化。
通过对比实际输出和预期输出,可以验证电路的正确性和性能。
三、实验结果与分析在进行电路模拟和验证后,我们得到了一系列的实验结果。
通过分析这些结果,可以得出以下结论:1. 译码器电路能够正确地将输入的二进制代码转换为相应的输出信号。
例如,输入“0000”时,输出为“0001”;输入“0001”时,输出为“0010”等等。
2. 译码器电路的响应速度较快,能够在很短的时间内完成输入和输出的转换。
FPGA_计数与译码显示单元的设计
实验报告
电子班倪佳华 222009315220022
一.实验名称
原理图输入法进行计数与译码显示单元的设计
二.实验目的
1)掌握元件例化基本方法
2)加深原理图的绘制
三.实验原理
利用原有的led_decoder.vhd的VHDL语言文本设计文件生成
原理图符号,并调用Quartus II提供的库资源中的元件计数器
74160,完成设计与译码器相结合的设计。
四.实验过程
1)在实验2的led_decoder工程的基础下进行
2)新建原理图文件,放置元件,结果见图表1:
图表1
3)编译工程,查看RTL,见图表2
4)创建波形仿真文件,进行仿真,查看结果见图表3:
图表3
五.实验总结
注意事项:
(1)在一个工程中有多个实体的时候,在编译的时候要设置顶层实体为希望编译的那个
(2)多个波形仿真文件存在时,也要进行选择。
2019年最新-EDA技术应用项目三 数码管显示译码器-精选文档
四、项目实施——1. QuartusⅡ VHDL设计输入法
编辑输入信号, 设置输入为计 数输入。
四、项目实施——1. QuartusⅡ VHDL设计输入法
执行仿真,观察波形仿真结果
四、项目实施——1. QuartusⅡ VHDL设计输入法 (5)完成器件编程
四、项目实施
2.硬件电路调试及排故
with swd select seg<="11111100" when "0000",
"01100000" when "0001", "11011010" when "0010", "11110010" when "0011", "01100110" when "0100", "10110110" when "0101", "10111110" when "0110", "11100000" when "0111", "11111110" when "1000", "11110110" when "1001", "11101110" when "1010", "00111110" when "1011", "10011100" when "1100", "01111010" when "1101", "10011110" when "1110", "10001110" when "1111", "00000000" when others; end a;
《EDA技术及应用》实验指导书
实验一组合逻辑器件设计一、实验目的1、通过一个简单的3-8译码器的设计,掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。
3、初步了解QUARTUS II原理图输入设计的全过程。
二、实验主要仪器与设备1、输入:DIP拨码开关3位。
2、输出:LED灯。
3、主芯片:EP1K10TC100-3。
三、实验内容及原理三-八译码器即三输入,八输出。
输出与输入之间的对应关系如表1-1-1所示。
表1-1 三-八译码器真值表四、预习要求做实验前必须认真复习数字电路中组合逻辑电路设计的相关内容(编码器、译码器)。
五、实验步骤1、利用原理图设计输入法画图1-1-1。
2、选择芯片ACEX1K EP1K10TC100-3。
3、编译。
4、时序仿真。
5、管脚分配,并再次编译。
6、实验连线。
7、编程下载,观察实验结果。
图1-1 三-八译码器原理图六、实验连线用拨码开关的低三位代表译码器的输入(A,B,C),将之与EP1K10TC100-3的管脚相连;用LED灯来表示译码器的输出(D0~D7),将之与EP1K10TC100-3芯片的管脚相连。
拨动拨档开关,可以观察发光二极管与输入状态的对应关系同真值表中所描述的情况是一致的。
七、实验结果八、思考题在输入端加入使能端后应如何设计?附:用硬件描述语言完成译码器的设计::LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY T2 ISPORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0);Y: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END T2;ARCHITECTURE A OF T2 ISBEGINWITH A SELECTY <= "00000001" WHEN "000","00000010" WHEN "001","00000100" WHEN "010","00001000" WHEN "011","00010000" WHEN "100","00100000" WHEN "101","01000000" WHEN "110","10000000" WHEN OTHERS;END A;实验二组合电路设计一、实验目的1、掌握组合逻辑电路的设计方法。
EDA技术实验报告完整版
福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:100201079指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级: 2010级姓名:邱彬彬学号: 100201079 实验课程: EDA技术实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:实验一Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。
2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。
Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。
3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。
利用Quartus II 9.0软件实现引脚锁定和编译文件下载。
利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。
5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。
eda课程设计数字
eda课程设计数字一、课程目标知识目标:1. 学生能理解数字电子设计自动化(EDA)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行简单的数字电路设计,包括逻辑门、组合逻辑电路及时序逻辑电路的设计。
3. 学生能理解并描述数字电路的仿真过程,掌握基本仿真技巧。
技能目标:1. 学生能够熟练操作EDA软件,完成给定功能的数字电路设计。
2. 学生能够分析并解决数字电路设计过程中遇到的问题,具备基本的故障排查能力。
3. 学生能够运用所学知识,进行小组合作,共同完成一个综合性的数字电路设计项目。
情感态度价值观目标:1. 学生对数字电路设计产生兴趣,培养主动探索、动手实践的精神。
2. 学生在小组合作中,学会沟通、协作、分享,培养团队意识和集体荣誉感。
3. 学生认识到数字电路在现代科技中的重要作用,增强对科技发展的关注和责任感。
课程性质:本课程为电子技术课程的拓展与深化,强调实践性与应用性。
学生特点:学生为高中生,具有一定的电子技术基础,对实践操作有较高的兴趣。
教学要求:注重理论与实践相结合,引导学生主动参与,提高学生的动手能力和创新能力。
在教学过程中,将课程目标分解为具体的学习成果,以便于教学设计和评估。
二、教学内容本课程以《电子技术》教材中数字电路设计相关章节为基础,结合以下内容进行教学:1. 数字电子设计自动化(EDA)概念介绍:包括EDA工具的作用、分类和应用领域。
2. EDA软件操作:以某款常用EDA软件为例,教学软件的基本操作、界面认识及简单使用技巧。
3. 数字电路设计基础:回顾逻辑门、组合逻辑电路、时序逻辑电路的基本原理和设计方法。
4. EDA软件在数字电路设计中的应用:利用EDA软件设计简单的组合逻辑电路和时序逻辑电路。
5. 数字电路仿真:介绍仿真原理,指导学生进行电路仿真,分析仿真结果。
6. 故障排查与优化:教授学生如何分析电路故障,进行电路优化,提高电路性能。
7. 综合项目设计:安排一个综合性的数字电路设计项目,涵盖课程所学内容,培养学生的综合应用能力。
电子设计自动化(EDA)_数字时钟程序模块(LED数码管显示)_实验报告
电子设计自动化(EDA)—数字时钟LED数码管显示二、实验内容和实验目的1. 6个数码管动态扫描显示驱动2. 按键模式选择(时\分\秒)与闹钟(时\分)调整控制,3. 用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、闹钟模块、按键控制状态机模块、动态扫描显示驱动模块、顶层模块。
要求使用实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
实验目的: 1)学会看硬件原理图, 2)掌握FPGA硬件开发的基本技能3)培养EDA综合分析、综合设计的能力三、实验步骤、实现方法(或设计思想)及实验结果主要设备: 1)PC机, 2)硬件实验箱, 3)Quartus II软件开发平台。
1.打开Quartus II , 连接实验箱上的相关硬件资源, 如下图1所示。
2.建立新文件, 选择文本类型或原理图类型。
3. 编写程序。
4.编译5. 仿真, 加载程序到芯片, 观察硬件输出结果(数码管显示)6.结果正确则完成。
若结果不正确, 则修改程序, 再编译, 直到正确。
模24计数器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY count24 ISPORT(clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END count24;ARCHITECTURE arc OF count24 ISSIGNAL a,b:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk,en)BEGINhh<=a;hl<=b;IF(clk'EVENT AND clk='1') THENIF(en='1') THENIF(a="0010" AND b="0011") THENa<="0000";b<="0000";ELSE IF(b="1001") THENa<=a+'1';b<="0000";ELSE b<=b+'1';END IF;END IF;IF(a="0010" AND b="0010") THENcout<='1';ELSE cout<='0';END IF;END IF;END IF;END PROCESS;END arc;模60计数器模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY count60 ISPORT(clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END count60;ARCHITECTURE arc OF count60 ISSIGNAL a,b:STD_LOGIC_VECTOR(3 DOWNTO 0);SIGNAL sout:STD_LOGIC;BEGINPROCESS(clk)BEGINhh<=a; hl<=b;IF(clk'EVENT AND clk='1') THENIF(en='1') THENIF(a="0101" AND b="1001") THENa<="0000";b<="0000";ELSE IF(b="1001") THENa<=a+'1';b<="0000";ELSE b<=b+'1';END IF;END IF;END IF;END IF;END PROCESS;sout<='1' WHEN a="0101" AND b="1001" ELSE '0';cout<=sout AND en;END arc;4-7显示译码模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY segment4to7 ISPORT(s:IN STD_LOGIC_VECTOR(3 DOWNTO 0);a,b,c,d,e,f,g:OUT STD_LOGIC);END segment4to7;ARCHITECTURE arc OF segment4to7 IS SIGNAL y:STD_LOGIC_VECTOR(6 DOWNTO 0); BEGINa<= y(6);b<= y(5);c<= y(4);d<= y(3);e<= y(2); f<= y(1);g<= y(0);PROCESS(s)BEGINCASE s ISWHEN "0000"=>y<="1111110"; WHEN "0001"=>y<="0110000"; WHEN "0010"=>y<="1101101"; WHEN "0011"=>y<="1111001"; WHEN "0100"=>y<="0110011"; WHEN "0101"=>y<="1011011"; WHEN "0110"=>y<="1011111"; WHEN "0111"=>y<="1110000"; WHEN "1000"=>y<="1111111"; WHEN "1001"=>y<="1111011"; WHEN OTHERS=>y<="0000000"; END CASE;END PROCESS;END arc;带闹钟控制模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mode_adjust_with_alarm ISPORT (adjust,mode,clk1hz: IN STD_LOGIC;clkh,enh,clkm,enm,clks,enha: OUT STD_LOGIC;clkh_a,clkm_a:OUT STD_LOGIC;mode_ss: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END mode_adjust_with_alarm;ARCHITECTURE arc OF mode_adjust_with_alarm ISTYPE mystate IS (s0,s1,s2,s3,s4,s5);SIGNAL c_state,next_state: mystate;BEGINPROCESS (c_state)BEGINCASE c_state ISWHEN s0=> next_state <= s1; clkh<=clk1hz; clkm<=clk1hz; clks<=clk1hz;enh<='0'; enm<='0'; enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="000";WHEN s1=> next_state <= s2; clkh<=adjust; clkm<= '0'; clks<='0';enh<='1'; enm<='0';enha<='0'; clkh_a<= '0';clkm_a<= '0'; mode_ss <="001";WHEN s2=> next_state <= s3; clkh<= '0'; clkm<=adjust; clks <= '0';enh<='0';enm<='1';enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="010";WHEN s3=> next_state <= s4; clkh<= '0'; clkm<= '0'; clks<=adjust;enh<='0'; enm<='0';enha<='0'; clkh_a<= '0'; clkm_a<= '0'; mode_ss <="011";WHEN s4=> next_state <= s5; clkh<= clk1hz; clkm<= clk1hz; clks<=clk1hz;enh<='0';enm<='0';enha<='1'; clkh_a<=adjust; clkm_a<= '0'; mode_ss <="100";WHEN s5=> next_state <= s0; clkh<= clk1hz; clkm<= clk1hz; clks<=clk1hz;enh<='0'; enm<='0'; enha<='0'; clkh_a<= '0'; clkm_a<=adjust; mode_ss <="101";END CASE;END PROCESS;PROCESS (mode)BEGINIF (mode'EVENT AND mode='1') THENc_state<=next_state ;END IF;END PROCESS;END arc;扫描模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY scan ISPORT(clk256hz:IN STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END scan;ARCHITECTURE arc OF scan ISTYPE mystate IS (s0, s1,s2,s3,s4,s5);SIGNAL c_state,next_state: mystate;BEGINPROCESS ( c_state )BEGINCASE c_state ISWHEN s0=> next_state <=s1; ss<="010";WHEN s1=> next_state <=s2; ss<="011";WHEN s2=> next_state <=s3; ss<="100";WHEN s3=> next_state <=s4; ss<="101";WHEN s4=> next_state <=s5; ss<="110";WHEN s5=> next_state <=s0; ss<="111";END CASE;END PROCESS;PROCESS (clk256hz)BEGINIF (clk256hz'EVENT AND clk256hz='1') THENc_state<=next_state ;END IF;END PROCESS;END arc;复用模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux ISPORT(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla:IN STD_LOGIC_VECTOR(3 DOWNTO 0);ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);alarm:OUT STD_LOGIC);END mux;ARCHITECTURE arc OF mux ISSIGNAL a,hhtmp,hltmp,mhtmp,mltmp,shtmp,sltmp:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(mode_ss)BEGINCASE mode_ss ISWHEN "000"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "001"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "010"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "011"=>hhtmp<=hh; hltmp<=hl; mhtmp<=mh; mltmp<=ml; shtmp<=sh; sltmp<=sl;WHEN "100"=> hhtmp<=hha; hltmp<=hla; mhtmp<=mha; mltmp<=mla; shtmp<=sh; sltmp<=sl;WHEN "101"=> hhtmp<=hha; hltmp<=hla; mhtmp<=mha; mltmp<=mla; shtmp<=sh; sltmp<=sl;WHEN OTHERS=>hhtmp<="0000";hltmp<="0000";mhtmp<="0000";mltmp<="0000";shtmp<="0000";sltmp<="0000"; END CASE;END PROCESS;PROCESS(ss)BEGINCASE ss ISWHEN "010"=> a <=hhtmp;WHEN "011"=> a <=hltmp;WHEN "100"=> a <=mhtmp;WHEN "101"=> a <=mltmp;WHEN "110"=> a <=shtmp;WHEN "111"=> a <=sltmp;WHEN OTHERS => a <="0000";END CASE;y<=a;END PROCESS;alarm<='1' WHEN ((hh=hha)AND(hl=hla)AND(mh=mha)AND(ml=mla)) ELSE '0';END arc;闪烁模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY blink_control ISPORT(ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);blink_en:OUT STD_LOGIC);END blink_control;ARCHITECTURE arc OF blink_control ISBEGINPROCESS (ss,mode_ss)BEGINIF(ss="010" AND mode_ss="001") THEN blink_en<='1';ELSIF(ss="011" AND mode_ss="001") THEN blink_en<='1';ELSIF(ss="100" AND mode_ss="010") THEN blink_en<='1';ELSIF(ss="101" AND mode_ss="010") THEN blink_en<='1';ELSIF(ss="110" AND mode_ss="011") THEN blink_en<='1';ELSIF(ss="111" AND mode_ss="011") THEN blink_en<='1';ELSIF(ss="010" AND mode_ss="100") THEN blink_en<='1';ELSIF(ss="011" AND mode_ss="100") THEN blink_en<='1';ELSIF(ss="100" AND mode_ss="101") THEN blink_en<='1';ELSIF(ss="101" AND mode_ss="101") THEN blink_en<='1';ELSE blink_en<='0';END IF;END PROCESS;END arc;Top文件LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY design3 ISPORT (mode,adjust,clk1hz,clk2hz,clk256hz,clk1khz:IN STD_LOGIC;alarm,a,b,c,d,e,f,g:OUT STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END design3;ARCHITECTURE arc OF design3 ISCOMPONENT mode_adjust_with_alarm PORT (adjust,mode,clk1hz: IN STD_LOGIC;clkh,enh,clkm,enm,clks,enha: OUT STD_LOGIC;clkh_a,clkm_a:OUT STD_LOGIC;mode_ss: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END COMPONENT;COMPONENT scan PORT (clk256hz:IN STD_LOGIC;ss:OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END COMPONENT;COMPONENT segment4to7 PORT (s: IN STD_LOGIC_VECTOR(3 DOWNTO 0);a,b,c,d,e,f,g: OUT STD_LOGIC);END COMPONENT;COMPONENT mux PORT(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla:IN STD_LOGIC_VECTOR(3 DOWNTO 0);ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);alarm:OUT STD_LOGIC);END COMPONENT;COMPONENT blink_control PORT(ss,mode_ss:IN STD_LOGIC_VECTOR(2 DOWNTO 0);blink_en:OUT STD_LOGIC);END COMPONENT;COMPONENT count24 PORT (clk,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END COMPONENT;COMPONENT count60 PORT (clk ,en:IN STD_LOGIC;cout:OUT STD_LOGIC;hh,hl:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END COMPONENT;SIGNALclkh,enh,clkm,enm,clks,clkh_a,clkm_a,coutm,couts,coutm_en,couts_en,cout,vcc,coutma_en,coutma,alarm1,bli nk_en,blink_tmp,enha: STD_LOGIC;SIGNAL mode_ss,ss1:STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL hh,hl,mh,ml,sh,sl,hha,hla,mha,mla,y,i:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINvcc<='1';coutm_en <= enh OR coutm;couts_en <= enm OR couts;coutma_en<= enha OR coutma;blink_tmp<=blink_en and clk2hz;i(3)<=y(3) OR blink_tmp;i(2)<=y(2) OR blink_tmp;i(1)<=y(1) OR blink_tmp;i(0)<=y(0) OR blink_tmp;ss<=ss1;alarm<=alarm1 AND clk1khz;u1:mode_adjust_with_alarmPORT MAP( adjust,mode,clk1hz,clkh,enh,clkm,enm,clks,enha,clkh_a,clkm_a,mode_ss);u2:count24 PORT MAP(clkh,coutm_en,cout,hh,hl);u3:count60 PORT MAP(clkm,couts_en,coutm,mh,ml);u4:count60 PORT MAP(clks,vcc,couts,sh,sl);u5:count24 PORT MAP(clkh_a,coutma_en,cout,hha,hla);u6:count60 PORT MAP(clkm_a,vcc,coutma,mha,mla);u7:mux PORT MAP(hh,hl,mh,ml,sh,sl,hha,hla,mha,mla,ss1,mode_ss,y,alarm1);u8:scan PORT MAP(clk256hz,ss1);u9:blink_control PORT MAP(ss1,mode_ss,blink_en);u10:segment4to7 PORT MAP(i,a,b,c,d,e,f,g);END arc;实验结果:数字钟包括正常的时分秒计时, 实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒。
eda计数器的课程设计
eda计数器的课程设计一、课程目标知识目标:1. 让学生理解EDA(电子设计自动化)计数器的基本原理和功能;2. 使学生掌握计数器的种类、工作方式及应用场景;3. 帮助学生掌握使用EDA工具进行计数器设计的方法。
技能目标:1. 培养学生运用EDA工具设计简单计数器的能力;2. 培养学生分析计数器电路、调试和优化电路的技能;3. 提高学生团队协作和沟通表达的能力。
情感态度价值观目标:1. 培养学生对EDA技术及电子设计的兴趣和热情;2. 培养学生严谨、细致、勇于探索的科学态度;3. 增强学生的国家认同感和自豪感,认识到我国在EDA技术领域的发展。
课程性质分析:本课程为电子技术专业课程,以实践为主,理论联系实际。
结合学生特点和教学要求,注重培养学生的实际操作能力和团队合作精神。
学生特点分析:学生为高中生,具有一定的电子技术基础和动手能力,对新鲜事物充满好奇心,喜欢探索和实践。
教学要求:1. 理论与实践相结合,注重培养学生的实际操作能力;2. 激发学生的创新意识,提高学生的设计能力;3. 引导学生关注我国EDA技术领域的发展,培养学生的国家认同感。
二、教学内容1. 计数器原理:讲解计数器的基本概念、分类(同步、异步计数器)、工作原理和功能;教材章节:第二章第二节《计数器的基本概念与分类》2. EDA工具使用:介绍常见的EDA工具(如Multisim、Proteus等),学习如何使用这些工具进行计数器设计;教材章节:第三章第一节《电子设计自动化工具介绍》3. 计数器设计:分析简单计数器电路原理,学习使用EDA工具进行设计,包括电路图绘制、仿真测试等;教材章节:第三章第三节《计数器的设计与仿真》4. 电路调试与优化:学习如何对计数器电路进行调试、优化,提高电路性能;教材章节:第四章第二节《电路调试与优化方法》5. 实践操作:分组进行计数器设计实践,培养团队协作能力和实际操作技能;教材章节:第五章《实践操作》6. 总结与拓展:总结计数器设计与实践过程中的经验教训,探讨计数器在其他领域的应用;教材章节:第六章《课程总结与拓展》教学内容安排与进度:1. 计数器原理(1课时)2. EDA工具使用(1课时)3. 计数器设计(2课时)4. 电路调试与优化(1课时)5. 实践操作(3课时)6. 总结与拓展(1课时)总计8课时,确保学生在掌握理论知识的基础上,充分进行实践操作,提高综合运用能力。
EDA实验译码显示
一 实验目的
1、 掌握七段译码器的工作原理; 2、 学会用 VHDL 硬件描述语言进行数字系统设计; 3、 学会运用波形仿真测试检验程序的正确性; 4、用 QuartusII 完成基本组合电路的设计。
二 实验仪器
PC 机、Quartus II 6.0 软件、康芯 EDA 实验箱
图 3- 1 共阴数码管及其电路
2、引脚锁定以及硬件下载测试。建议选实验电路模式 6,用数码 8 显示译码输出(PIO46--PIO40) , 键 8、键 7、键 6、键 5 四位控制输入,硬件验证译码器的工作性能。
四、实验报告要求
1、总结 Quartus II 6.0 VHDL 中 CASE 语句应用及多层次设计方法 2、根据以上的实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和实验过程; 设计程序、程序分析报告、仿真波形图及其分析报告; 3、 心得体会――本次实验中你的感受;你从实验中获得了哪些收益;本次实验你的成功之处;本次 实验中还有待改进的地方;下次实验应该从哪些地方进行改进;怎样提高自的实验效率和实验水平等等。
三 实验内容
选 GW48 系统的实验电路模式 6, 用数码 8 显示译码输出(PIO46-PIO40), 键 3 到键 8 作为控制输入端。 完成计数器的数码管显示设计。
四 实验原理及步骤
7 段数码是纯组合电路,通常的小规模专用 IC,如 74 或 4000 系列的器件只能作十进制 BCD 码译码, 然而数字系统中的数据处理和运算都是 2 进制的,所以输出表达都是 16 进制的,为了满足 16 进制数的译 码显示,最方便的方法就是利用译码程序在 FPGA/CPLD 中来实现。例 6-18 作为 7 段译码器,输出信号 LED7S 的 7 位分别接如图 6-2 数码管的 7 个段,高位在左,低位在右。例如当 LED7S 输出为“1101101”时, 数码管的 7 个段:g、f、e、d、c、b、a 分别接 1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管 显示“5”。 注意, 这里没有考虑表示小数点的发光管, 如果要考虑, 需要增加段 h, 例 6-18 中的 LED7S:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)应改为 ... (7 DOWNTO 0) 。 1、 根据译码器真值表写出原程序。程序中 LED7S 对应数码管引脚排列为:GFEDCBA 译码器真值表: 数 值 0 1 2 3 4 5 输入 A B 0 0 0 0 0 0 0 0 0 0 1 1 C 0 0 1 1 0 0 D 0 1 0 1 0 1 输出 a 1 0 1 1 0 1 b 1 1 1 1 1 0 c 1 1 0 1 1 1 d 1 0 1 1 0 1 e 1 0 1 0 0 0 f 1 0 0 0 1 1 g 0 0 1 1 1 1
eda显示课程设计
eda显示课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行简单的电路设计和仿真,理解电路图与实际电路之间的关系。
3. 学生了解显示电路的基本原理,掌握显示器件的工作方式和应用。
技能目标:1. 学生能独立操作EDA软件,完成基本的电路绘制和仿真。
2. 学生能够设计简单的显示电路,并进行调试和优化。
3. 学生通过实践,培养解决实际问题的能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科技的兴趣,增强创新意识和实践能力。
2. 学生在学习过程中,养成严谨、细致、勇于探索的科学态度。
3. 学生通过团队合作,培养沟通、协作、尊重他人的价值观。
课程性质:本课程为实践性较强的电子技术课程,旨在通过EDA软件的运用,使学生掌握显示电路的设计方法。
学生特点:学生处于高年级阶段,具备一定的电子技术基础和计算机操作能力。
教学要求:注重理论与实践相结合,提高学生的动手能力和实际问题解决能力。
通过课程学习,使学生将所学知识应用于实际项目中,达到学以致用的目的。
同时,关注学生的个体差异,因材施教,激发学生的学习兴趣和潜能。
二、教学内容1. EDA软件介绍:使学生了解EDA软件的基本功能、特点和应用领域,掌握软件的安装与基本操作方法。
- 教材章节:第二章 电子设计自动化概述2. EDA电路设计基础:讲解电路图绘制、原理图设计、元件库调用等基本操作。
- 教材章节:第三章 EDA电路设计基础3. 显示电路原理:介绍显示器件的工作原理、分类及应用,使学生掌握显示电路的设计方法。
- 教材章节:第四章 显示器件原理与应用4. EDA软件在显示电路设计中的应用:通过实例讲解,让学生学会使用EDA 软件设计显示电路。
- 教材章节:第五章 EDA软件在显示电路设计中的应用5. 电路仿真与调试:指导学生进行电路仿真、调试,掌握常见问题的解决方法。
EDA译码器课程设计
EDA译码器课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念及其在数字电路设计中的应用;2. 掌握译码器的基本原理,了解其功能及在数字系统中的作用;3. 学会使用EDA工具进行译码器电路的设计与仿真。
技能目标:1. 能够运用所学知识,利用EDA工具绘制译码器的原理图;2. 培养动手实践能力,完成译码器电路的搭建与调试;3. 提高分析问题和解决问题的能力,通过对译码器电路的仿真,学会查找并解决电路故障。
情感态度价值观目标:1. 培养学生对电子工程领域的兴趣,激发创新意识;2. 培养学生的团队协作精神,提高沟通与表达能力;3. 增强学生的自信心,培养勇于面对挑战的精神。
课程性质:本课程为电子技术实践课程,注重理论与实践相结合,以培养学生的实际操作能力为主。
学生特点:学生为高中年级,具备一定的电子技术基础知识,对实践操作有较高的兴趣。
教学要求:结合学生特点和课程性质,采用启发式教学,引导学生主动探究,注重培养学生的动手能力和实际问题解决能力。
在教学过程中,将课程目标分解为具体的学习成果,以便进行有效的教学设计和评估。
二、教学内容1. EDA基本概念:介绍EDA的概念、发展历程及其在电子设计中的应用;参考教材章节:第一章 电子设计自动化概述2. 译码器原理:讲解译码器的工作原理、功能和应用;参考教材章节:第三章 数字电路基础,第四节 译码器与编码器3. EDA工具使用:教授如何使用EDA工具(如Multisim、Proteus等)进行译码器电路的原理图绘制与仿真;参考教材章节:第五章 电子设计自动化工具,第一节 原理图绘制与仿真4. 译码器电路设计:指导学生利用EDA工具设计简单的译码器电路;参考教材章节:第六章 数字电路设计实例,第二节 译码器电路设计5. 译码器电路搭建与调试:组织学生动手搭建译码器电路,进行调试与故障排查;参考教材章节:第七章 实践操作,第三节 数字电路搭建与调试6. 课程总结与评价:对所学内容进行总结,评估学生的学习成果;参考教材章节:第十章 课程总结与评价教学内容安排与进度:第1周:EDA基本概念学习;第2周:译码器原理学习;第3周:EDA工具使用教学;第4周:译码器电路设计与仿真;第5周:译码器电路搭建与调试;第6周:课程总结与评价。
eda计数课程设计
eda计数课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)计数的基本原理和方法;2. 使学生了解计数器在数字电路设计中的应用和重要性;3. 帮助学生理解不同类型计数器的特点及适用场合。
技能目标:1. 培养学生运用EDA工具进行计数器设计、仿真和验证的能力;2. 提高学生分析计数器电路、解决实际问题的能力;3. 培养学生团队协作、沟通交流和动手实践的能力。
情感态度价值观目标:1. 培养学生对EDA技术及其在数字电路领域应用的兴趣和热情;2. 增强学生面对问题时的探究精神和责任感;3. 引导学生树立正确的价值观,认识到科技发展对国家和社会的重要性。
课程性质分析:本课程为电子技术相关课程,适用于高年级学生,具有较强的实践性和应用性。
学生特点分析:高年级学生对电子技术有一定的基础,具备一定的EDA工具使用能力,求知欲强,喜欢挑战性任务。
教学要求:1. 结合课本内容,注重理论与实践相结合,提高学生的实际操作能力;2. 注重启发式教学,引导学生主动思考、发现问题、解决问题;3. 针对不同学生的学习需求,制定个性化的教学方案,确保课程目标的实现。
二、教学内容1. 计数器原理:回顾数字电路基础知识,讲解计数器的基本概念、分类及其工作原理。
教材章节:《数字电路》第三章第三节“计数器”2. EDA工具使用:介绍常见的EDA工具,如Multisim、Proteus等,教授学生如何使用这些工具进行计数器电路设计、仿真和验证。
教材章节:《电子设计自动化》第二章“EDA工具及其使用”3. 顺序计数器设计:讲解顺序计数器的设计方法,引导学生运用EDA工具进行电路设计。
教材章节:《数字电路》第三章第四节“顺序计数器”4. 同步计数器设计:介绍同步计数器的工作原理和设计方法,指导学生利用EDA工具进行同步计数器设计。
教材章节:《数字电路》第三章第五节“同步计数器”5. 应用案例分析:分析实际应用中计数器的典型应用案例,让学生了解计数器在实际工程项目中的重要作用。
eda的计数器课程设计
eda的计数器课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握计数器的设计原理。
2. 学生能描述不同类型的计数器,并了解它们在实际应用中的区别。
3. 学生能运用所学知识,分析并设计简单的计数器电路。
技能目标:1. 学生能够运用EDA工具进行计数器电路的绘制、仿真和调试。
2. 学生能够通过小组合作,解决设计过程中遇到的问题,提高团队协作能力。
3. 学生能够运用所学知识,独立完成计数器电路的设计与验证。
情感态度价值观目标:1. 学生对电子设计产生兴趣,培养探究精神和创新意识。
2. 学生在小组合作中,学会倾听、尊重他人意见,培养良好的沟通能力和团队精神。
3. 学生能够认识到科技发展对社会进步的重要性,增强社会责任感和使命感。
课程性质:本课程为电子信息科学与技术专业的实践课程,旨在让学生通过实际操作,掌握计数器的设计原理和EDA工具的使用。
学生特点:学生已具备一定的电子基础知识和EDA软件操作能力,具有较强的动手能力和探究欲望。
教学要求:结合学生特点和课程性质,注重理论与实践相结合,强调动手实践和团队合作,提高学生的实际操作能力和创新能力。
通过本课程的学习,使学生能够达到上述课程目标,为后续课程和实际工作打下坚实基础。
二、教学内容本课程教学内容主要包括以下几部分:1. 计数器原理与分类- 计数器的基本概念、功能和分类- 不同类型计数器(同步、异步、Johnson等)的工作原理及应用2. EDA工具使用- 介绍EDA软件的基本操作和功能- 计数器电路的绘制、仿真与调试方法3. 计数器设计实践- 设计简单的同步计数器电路- 设计简单的异步计数器电路- 设计简单的Johnson计数器电路4. 小组讨论与总结- 分析设计过程中遇到的问题及解决方法- 各小组展示设计成果,分享经验和心得教学内容安排与进度:第1周:计数器原理与分类学习,了解EDA工具的基本操作第2周:设计简单的同步计数器电路,进行仿真与调试第3周:设计简单的异步计数器电路,进行仿真与调试第4周:设计简单的Johnson计数器电路,进行仿真与调试第5周:小组讨论与总结,展示设计成果教材章节与内容:第1章 计数器原理与分类第2章 EDA工具使用第3章 计数器设计实践第4章 小组讨论与总结三、教学方法本课程采用以下多样化的教学方法,以激发学生的学习兴趣和主动性:1. 讲授法:教师通过讲解计数器原理、分类及EDA工具的使用方法,为学生奠定理论基础。
EDA技术第6章
一、原理图与VHDL比较
原理图设计输入 输入效率较低,但容易实现仿真,便于电路的调整 设计较大规模系统时,显得繁琐且移植性差
VHDL输入 VHDL对设计的描述具有相对性,可以不考虑硬件的结构和最终实
文件夹中? 2. 最后对哪个程序进行期间分配、管脚分布、程序下载等步骤?
三、数字电子钟
➢ 要求 具有时、分、秒计数显示,24小时制; 具有清零的功能,能调整系统的小时、分钟;
➢ 模块划分 计数:秒计数、分计数、小时计数; 时间设置(将其写入分钟计数及时钟计数模块中) 译码(包括动态数码管选择、7段译码)
library ieee; use ieee.std_logic_1164. all;
entity cnt60 is port ( clk, clear, load, : in std_logic_vector ( 3 downto 0 ); cout : out std_logic;
qh , ql : out std_logic_vector ( 3 downto 0 )); end cnt60;
architecture one of cnt60 is signal tmph, tmpl : std_logic_vector ( 3 downto 0 ); begin
begin if clear=‘0’ then
else tmpl <= tmpl+1; end if;
?
end if;
end if;
end one;
qh <= tmph; ql <= tmpl; cout <= tmph(2) and tmph(0) and tmpl(3) and
EDA实验—译码器设计
电子信息工程学系实验报告课程名称:EDA技术与实验实验项目名称:三八译码器设计实验时间:班级:通信091 姓名:Jxairy 学号:实验目的:1.熟悉ALTERA公司EDA设计工具软件max+plusⅡ。
2.掌握max+plusⅡ文本、原理图设计及其仿真。
实验环境:Windows 7、max+plusⅡ10等。
实验原理:(详见实验分析部分。
)实验内容:1.运用max+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的文本设计流程。
2.运用max+plusⅡ软件,设计三八译码器,掌握max+plusⅡ软件的原理图设计流程。
3.了解和熟悉max+plusⅡ软件的菜单界面和命令功能。
实验过程:一、三八译码器文本设计:(1)新建文本:选择菜单File下的New,出现如图2.1所示的对话框,在框中选中“Text Editorfile”,按“OK”按钮,即选中了文本编辑方式。
(2)另存为Verilog编辑文件,如图2.2所示。
(3)在编辑窗口中输入程序。
图2.1 新建文本图2.2 另存为.V编辑文件(4)设置当前文本:在MAX+PLUS II中,在编译一个项目前,您必须确定一个设计文件作为您的当前项目。
请按下列步骤确定项目名:在File menu 菜单中选择Project Name项,将出现Project Name 对话框:在Files 框内,选择您的设计文件。
选择“OK”。
如图2.3所示。
图2.3 设置当前仿真的文本设计(5)打开编译器窗口:在MAX—plusⅡ菜单内选择Compiler 项,即出现如图2.4的编译器窗口。
图2.4 编译器窗口选择Start即可开始编译,MAX+PLUS II编译器将检查项目是否有错,并对项目进行逻辑综合,然后配置到一个Altera 器件中,同时将产生报告文件、编程文件和用于时间仿真用的输出文件。
(6)建立波形编辑文件:选择菜单File下的New选项,在出现的New对话框中选择“Waveform Editor File”,单击OK后将出现波形编辑器子窗口。
EDA设计 六位频率计的设计 精品
EDA技术课程设计报告六位频率计的设计一概述1.1设计背景及意义技术是以大规模为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计,通过有关的开发软件,自动完成用软件设计的系统到硬件系统的设计,最终形成集成电子系统或专用的一门新技术。
其设计的灵活性使得EDA技术得以快速发展和广泛应用。
在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。
由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。
因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。
频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。
在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计时量程最大、品种很多的产品,是计算机、通讯设备、音频视频的科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系。
因此,频率的测量就显得更为重要。
本设计设计6位频率计,以触发器和计数器为核心,由信号输入、触发、计数、数据处理和数据显示等功能模块组成。
本次采用QuartusII的宏元件和VHDL 语言设计两种方法来设计6位频率计,提高了测量频率的范围。
1.2设计任务与要求1.21设计任务:采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。
1.22设计要求:a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示二六位频率计的工作原理2.1频率计的设计框图数字频率计的关键组成部分包括测频控制、、锁存器、译码驱动和显示电路,其原理框图如图1所示。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
三
wait 语句
进程在仿真时的两个状态: 执行或挂起。 进程状态的变化受wait 语句或敏感信号量变 化的控制。 可设置 4种不同的条件: wait wait on wait until wait for -- 无限等待 -- 敏感信号量变化 -- 条件满足(可综合 wait on 信号[,信号]; 例:以下两种描述是完全等价的
三、项目分析 16进制加法计数译码显示电路由 16进制加法计数器电路两部分和译 码显示电路组成。其中,译码显示 电路就是项目5做过的BCD-7段数码 管显示译码器电路,故本项目其实只 要完成16进制加法计数器电路的设 计。
四、相关知识
1、进程PROCESS
2、wait语句
3、if语句 4、时序电路设计
3)if 语句的多选择控制
if 语句的多选择控制又称为 if 语句的 嵌套。 格式: if 条件 then 顺序处理语句; elsif 条件 then 顺序处理语句; ┇ elsif 条件 then 顺序处理语句; else 顺序处理语句; end if;
典型电路是多选一(四选一)电路。
if_then_elsif 语句中隐含了优先级别的判断,
elsif input(3)=„0‟ then output<=“100”; elsif input(2)=„0‟ then output<=“101”; elsif input(1)=„0‟ then output<=“110”‟; else output<=“111”; end if; end process; end art;
2.设计方案
二、项目要求
⑴在EDA实验箱上设计16进制加法计数译码显示电路:使 用EDA实验箱上开关设置模块的K1作为异步清零控制 端,使用在EDA实验箱上开关设置模块的K2作为同步 使能控制端;使用EDA实验箱上脉冲源模块中的1Hz 作为计数脉冲源;使用EDA实验箱上键盘显示模块中 最右边一位的数码管显示计数值(0~F);并进行 功能仿真。 ⑵使在EDA实验箱上设计10进制加法计数译码显示电路: 用EDA实验箱上开关设置模块的K1作为异步清零控制 端,使用在EDA实验箱上开关设置模块的K2作为同步 使能控制端;使用EDA实验箱上脉冲源模块中的1Hz 作为计数脉冲源;使用EDA实验箱上键盘显示模块中 最右边一位的数码管显示计数值(0~9),并进行 功能仿真。
end coder;
architecture art of coder is begin process(input) begin if input(7)=„0‟ then output<=“000”; elsif input(6)=„0‟ then output<=“001”; elsif input(5)=„0‟ then output<=“010”; elsif input(4)=„0‟ then output<=“011”;
not ( clock‟stable )
and
clock = „1‟
VHDL并行语句(Concurrent)
Architecture 信号
并行语句 信号
并行语句 信号
并行语句
一、进程(process)语句
进程(process)语句最具VHDL语言特色。提 供了一种用算法描述硬件行为的方法。
特点:
时钟信号 clk 的上升沿的描述: wait until clk = „1‟; wait until rising_edge(clk); wait until clk‟event and clk = „1‟; wait until not(clk‟stable) and clk=„1‟; 由以上描述可实现相同的硬件电路结构。
2)在进程中用wait until语句描述时钟信号,此时进程将没
有敏感信号。
如: process begin wait until (clock_edge_condition); signal_out <= signal_in ; …… end process ;
注意: a.在对时钟边沿说明时,一定要注明是 上升沿还是下降沿。 b.一个进程中只能描述一个时钟信号。 c.wait until 语句只能放在进程的最前 面或最后面。
3)时钟边沿的描述
时钟上升沿: clock‟event and clock = „1‟ 时钟下降沿: clock‟event and clock = „0‟
2.复位信号描述
1)同步复位:在只有以时钟为敏感信号的进程中定义。 如: process (clock_signal) begin if (clock_edge_condition) then if (reset_condition) then signal_out <= reset_value; else signal_out <= signal_in ; …… end if ; end if ; end process ;
process(a, b) begin y<= a and b; end process;
process begin y<= a and b; wait on a, b; end process;
敏感信号量列表和 wait 语句只能选其一,两 者不能同时使用。
2、wait 格式:
until 语句(可综合)
时钟信号下降沿的描述?
例:求平均电路 process begin wait until clk'event and ave <= a; wait until clk'event and ave <= ave + a ; wait until clk'event and ave <= ave + a ; wait until clk'event and ave <= (ave + a)/4; end process;
转向控制语句通过条件控制开关决定是否执
行一条或几条语句,或重得执行一条或几条语句,
或跳过一条或几条语句。 分为五种: if 语句、case 语句、
loop 语句、next 语句、
exit 语句
1、if 语句
if 语句执行一序列的语句,其次序依赖于一 个或多个条件的值。 1)if 语句的门闩控制 if 条件 then 顺序处理语句; end if ;
最先出现的条件优先级最高,可用于设计具有优 先级的电路。如8-3优先级编码器。 library ieee;
use ieee.std_logic_1164.all;
entity coder is
port(input: in std_logic_vector(7 downto 0); output: out std_logic_vector(2 downto 0));
clk = „1‟ ;
clk = „1‟ ; clk = „1‟ ;
clk = „1‟ ;
四、其它语句和说明
属性(attribute)描述:
属性是某一对象的特征表示,是一个内
部预定义函数。格式为:
对象名’属性标识 符 综合器支持的属性有: left、 right、 high、 low、
range、 reverse_range、 length、 event、 stable
例:if (ena = „1‟) then q <= d; end if; 综合后生成锁存器(latch)
条件改为时钟沿,则生成 D触发器:
2)if 语句的二选择控制
格式:
if 条件 then 顺序处理语句; else 顺序处理语句; end if ; 用条件来选择两条不同程序执行的路径。
此描述的典型电路是二选一电路: architecture rtl of mux2 is begin process(a, b, sel) begin if (sel = „1‟) then y <= a ; else y <= b ; end if ; end process ; end rtl ;
EDA项目6 计数显示译码电路的设计
项目分析 回顾点评 相关知识 项目要求
设计方案
一、回顾点评
EDA技术项目5_BCD-7段译码器设计
1.功能与要求
⑴使用EDA实验箱上开关设置模块的K4、K3、K2、K1开关作为 BCD码输入; ⑵使用EDA实验箱上键盘显示模块中最右边一位的数码管显示 输入的BCD码编码数值;
VHDL顺序语句(Sequential)
ENTITY
ARCHITECTURE Process Process
ports
Sequential Process Combinational Process
ports
component
硬件执行:并发执行(VHDL本质) 仿真执行:顺序执行、并发执行 分为两大类:顺序(Sequential)描述语句 并发(Concurrent)描述语句
wait until 表达式;
当表达式的值为“真”时,进程被启动,否
则 进程被挂起。
wait until 语句的三种表达方式:
wait until 信号 = value;
wait until 信号’event and 信号 = value;
wait until not(信号’stable) and 信号= value;
2)异步复位:进程的敏感信号表中除时钟信号 外,还有复位信号。
如: process (reset_signal, clock_signal) begin if (reset_condition) then signal_out <= reset_value; elsif (clock_edge_condition) then signal_out <= signal_in ; …… end if ; end process ;