数字课程设计五人智力抢答器

合集下载

数电课程设计五人抢答器设计

数电课程设计五人抢答器设计

烟台南山学院数字电子技术课程设计题目抢答器姓名:所在学院:计算机与电气自动化学院所学专业:电气工程及自动化班级学号指导教师完成时间:目录1 采用数字电路 (1)2、基本原理电路 (2)2.1原理与分析 (2)3、电路元件的选择及参数 (2)3.1 〈74LS148〉 (2)3.2 〈74LS192〉 (4)3.3 〈74LS47〉 (5)3.4 〈NE555〉 (6)4、电路设计 (7)4.1单元电路设计 (7)4.1.1抢答器电路 (7)4.1.2 定时电路 (8)4.1.4 时序控制电路 (10)4.2 整体电路 (10)1 采用数字电路定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。

主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

扩展电路完成定时抢答的功能。

图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。

当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。

当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。

当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

2、基本原理电路2.1原理与分析其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。

课程设计---数字智力竞赛抢答器的设计

课程设计---数字智力竞赛抢答器的设计

接口技术课程设计报告数字智力竞赛抢答器的设计学院:机械与电子工程学院年级专业:机电103班学号:姓名:指导教师:完成时间: 2012年1月17日成绩:摘要关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种益智电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。

可见抢答器在现实生活中确实很实用,运用前景非常广泛。

在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。

如果在抢答中,只靠人的视觉是很难判断出哪组先答题。

这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。

本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

这次设计的智力竞赛抢答器,主要是由三个部分构成:一个是由几个D触发器的构成的用于抢答的部分,一个是由几个JK触发器和7448构成用于倒计时,另外一部分则是由两个74190构成的一个可逆计数器,即计分器。

关键词:抢答器计分倒计时目录1 设计任务....................................................... - 1 -1.1 设计目的和意义...................................................... - 1 -1.2电路要求............................................................ - 1 -2 系统设计......................................................... - 1 -2.1 总体方案设计........................................................ - 1 -2.2 方案论证及选择...................................................... - 3 -3.单元模块设计.................................................... - 3 -3.1抢答器电路.......................................................... - 3 -3.2计时器电路.......................................................... - 6 -3.2.1 555定时器................................................... - 7 -3.2.2减法计数器.................................................... - 8 -3.2.3 数字显示器................................................... - 9 -3.3 计分器电路......................................................... - 10 -4.主要器件介绍.................................................... - 11 -5.系统调试与仿真.................................................. - 13 -6. 总结........................................................... - 16 -6.1 本系统的优缺点.................................................... - 16 -6.2 心得体会.......................................................... - 16 -参考文献.......................................................... - 16 -1 设计任务数字智力竞赛抢答器的设计 1.1 设计目的和意义如今随着各种电视以及其他形式的益智比赛中,都会用到多方抢答器,但是依然存在很多问题,如不具有超时报警,或者不能清楚的显示是哪一方抢到答题机会等。

智力竞赛抢答器

智力竞赛抢答器

一、设计题目及要求1设计题目:智力竞赛抢答器2要求:(1)五人参赛每人一个按钮,主持人一个按钮,按下就开始;(2)每人一个发光二极管,抢中者灯亮;(3)有人抢答时,喇叭响两秒钟;(4)答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

二、设计过程及内容1 总体设计方案智力抢答器由5名选手及主持人作为共同输入端,由主持人控制总开关,当主持人按下按钮后,处于高电平状态。

此时选手可以进行抢答,最先抢答的人对应的二极管发亮,同时喇叭响两秒,且数码管显示器开始进行十秒倒计时,当计时器再次为0时,喇叭再响2秒钟,主持人控制总开关可复位。

方案如下:由五个高低电平(A1-A5)控制与之相应的发光二极管;第六个(B)用于主持人复位;脉冲输入信号(cp)经分频器产生需要的脉冲信号;由二极管控制数码管和其中一个喇叭响,再由数码管控制另一喇叭;因此整个课题设计可以分为4个模块,分别为抢答器,10s倒计时器,2秒计时器,分频器。

2 模块一抢答器逻辑图B为置零端,由主持人控制,A1—A5由每位选手控制。

Q1-Q5为对应的发光二极管。

主持人置低电平后,Q1-Q5都被置零;当主持人置为高电平时,抢答开始,最先抢答的人对应的二极管发光,通过与门将cp信号封锁,并输入低电平到DFF中,则其他选手按键时,输出不会改变,实现了一人抢答后,其他人不能再做答。

主持人B清零后即可再次抢答。

此模块的仿真波形仿真图说明:当B为高电平时,即主持人按键以后,最先抢答的是5号,此时5号对应的指示灯亮,其他选手对此时的抢答器结果无影响。

3模块二10s倒计时器逻辑图此十秒钟倒计时器是由74168十进制减法计数器,它保留预制置数端、CP 信号端、计数输出端,,其余的端口都置为0。

LD是置零端,LD置高电平1时,74168有效,开始进行减法计算,倒计时开始。

当输出0、9、---1时,D触发器输出结果总是0,不影响CP信号。

数字电路-EDA课程设计之五人抢答器

数字电路-EDA课程设计之五人抢答器

一、设计说明1.1 设计思路五个参赛者每人一个开关,主持人控制总开关,主持人置高电平后,系统进入准备工作。

有人正常抢答时,相应的二极管发光,有人违规抢答时,蜂鸣器响五秒。

我设计的方案由五个高低电平控制相应的发光二极管,第六个用于主持人复位。

因此把整个课题分成两个模块:抢答器、计时器。

1.2 模块介绍1.3本次设计中用了两个模块:计时器模块和抢答器模块。

计时器模块是用74160制成,用来控制蜂鸣器响应时间。

抢答器模块用五个D触发器和五个LED指示灯制成,完成第一个开关置高电平后相应灯亮并封锁其它指示灯,使其余开关置高电平后灯不亮功能。

1.3真值表1.3.1 74160十进制加法计数器A、B、C、D为数据输入端,LDN为置数端,低电平有效,CLER是清零端,低电平有效,ENT和ENP是使能端,高电平有效,CLK为时钟输入端,QA、QB、QC、QD为数据输出端,RCO为进位输出端。

图如下所示。

1.3.2 D触发器二、原理图2.1 计时器模块原理图此计时器是用74160制成5进制计数器,将CLRN与CLK用或门连接再与输出端相与。

当CLRN输入0时,QA、QB、QC输出0,输入1时,计数器开始工作。

计数到五时,输出端通过与门将CLK信号封锁,使计时器保持五不变。

2.2 抢答器模块原理图C1为置零端,主持人控制,D1到D5由每位选手控制。

L1到L5为发光二极管,主持人置低电平后, L1到L5都被置零。

当主持人置为高电平时,抢答开始,最先抢答者对应的二极管发光,通过与门将CLK信号封锁,并输入到DFF中,则其他选手在按键时,输出不会有影响,则实现了一人抢答后,其他人不能再做答。

若重新开始则主持人再按C1清零即可。

2.3总设计原理图将两个模块组合加上蜂鸣器制成总电路图,实现抢答器功能。

三、波形仿真图3.1计时器模块波形仿真图3.2 抢答器模块波形仿真图3.3总电路波形仿真图四、管脚锁定及硬件连线4.1 D1~C2管脚锁定及硬件连线D1-K为开关,管脚锁定SW8、SW7、SW6、SW5、SW4、SW3 对应引脚号PIN53、47、46、45、44、41。

五位抢答器课程设计

五位抢答器课程设计

五位抢答器课程设计一、课程目标知识目标:1. 学生能够理解五位抢答器的电路原理,掌握其设计与制作的基本步骤。

2. 学生能够描述数字电路基础知识,如逻辑门、触发器等,并运用到五位抢答器的设计中。

3. 学生了解电子元件的功能和符号,如电阻、电容、二极管、三极管等。

技能目标:1. 学生能够独立完成五位抢答器的电路图设计,并进行电路搭建和调试。

2. 学生能够运用所学知识解决实际电路问题,具备基本的电子制作能力。

3. 学生通过实践操作,提高动手能力、团队协作能力和问题解决能力。

情感态度价值观目标:1. 学生培养对电子技术的兴趣和热情,激发创新意识和实践精神。

2. 学生在团队合作中学会尊重他人、倾听意见,培养良好的沟通能力和团队精神。

3. 学生认识到科技发展对生活的影响,增强环保意识和责任感。

课程性质:本课程为电子技术实践课程,结合理论教学和动手操作,旨在提高学生的电子制作能力和实践技能。

学生特点:五年级学生具有一定的逻辑思维能力和动手能力,对新鲜事物充满好奇心,喜欢探索和实践。

教学要求:教师应注重理论与实践相结合,引导学生主动参与,关注学生的个体差异,鼓励学生提问、思考和讨论,确保每个学生都能在课程中收获知识和技能。

通过分解课程目标为具体的学习成果,便于教学设计和评估,使学生在课程结束后能够达到预期效果。

二、教学内容1. 电路基础知识:逻辑门、触发器、计数器原理,重点讲解与五位抢答器相关的内容。

教材章节:第二章 数字电路基础2. 电子元件介绍:电阻、电容、二极管、三极管等元件的功能、符号及应用。

教材章节:第三章 电子元件3. 五位抢答器电路设计:电路原理、设计步骤、电路图绘制。

教材章节:第四章 数字电路设计4. 电路搭建与调试:学生分组进行电路搭建,学会使用万用表、示波器等工具进行调试。

教材章节:第五章 电路搭建与调试5. 实践操作:学生动手制作五位抢答器,巩固所学知识,提高实践能力。

教材章节:第六章 实践操作6. 知识拓展:介绍电子技术在生活中的应用,激发学生学习兴趣。

数字课程设计五人智力抢答器

数字课程设计五人智力抢答器

EDA数字课程设计题目五人智力抢答器学院通信与电子工程学院专业班级通信093 学生姓名张美玲指导教师祁晓玉2012年6月28 日摘要随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。

抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。

做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。

智力竞赛是一种生动活泼、寓教于乐的活动形式,而抢答是智力竞赛中非常常见的一种答题方式。

在进行智力竞赛时,往往都是几个组抢答问题,这就要求在时间上严格地区分先后。

若是仅凭主持人的主观判断,则很容易造成错判、误判。

为此,我们需要设计一种具备自动锁存、置位、清零等功能的智能抢答器来解决这些问题。

该电路就是为智力竞赛抢答所设计,具有反应迅速,精确无误,操作简单等特点。

电路主要由三部分组成:数字抢答电路、时序控制电路以及报警电路。

其中数字抢答部分有一个74LS74触发器、74LS160计数器、74LS190和LED数码管显示器组成,可以将五位抢答者的按钮信号通过编码、锁存及译码后输出,驱动LED数码管显示出最先抢答者的编号。

而时序控制电路的功能是当参数选手按下抢答器时,使扬声器发出报警声。

关键字:抢答编码锁存译码显示报警AbstractAlong with the development of science and technology, make people learn science, technology, and knowledge to learn the techniques of diversity. Vies to answer first device as a tool, has been widely used in all kinds of intelligence and knowledge competition situation. But vies to answer first the use frequency of device is quite low, and some either making complicated or low reliability, reduce the interest. As a unit if only purchased a vies to answer first device on the economy can withstand though, but the number of times each year to use very little, often for long-term storage (electronic parts) vies to answer first is damaged, again the trouble and timeliness purchase would affect activities, so the design of this vies to answer first deviceIt is a lively competition, fun activities in the form of, and vies to answer first is very common in the quiz answer a way. In intelligence contest, often are several group vies to answer first problem, it is required in time in the region has strictly. If only with the host of the subjective judgment, it is very easy to create the falsely accused, misjudgment. For this, we need to design a kind of have to be automatic lock to save, buy a, reset function of intelligent vies to answer first device to solve these problems.This circuit is designed for quiz vies to answer first, a reaction rapid, accurate and correct, simple operation, etc. The main circuit of three parts: the digital vies to answer first circuit, sequential control circuit and alarm circuit. Which digital vies to answer first parts have a 74 LS74 flip-flop, 74 LS160 counter, 74 LS190 and LED digital display of tube, can will be five vies to answer first the signal through the button coding, lock to save and after decoding output, drive LED digital display first vies to answer first the tube out the Numbers. And the sequential control circuit function is when the parameters according to the next player vies to answer first, make the speaker sends out the alarm sound.Key word: responder coding latch doublekill give an alam目录摘要 (I)Abstract (II)第1章绪论 (1)1.1选题意义 (1)1.2设计思路及目标 (1)1.3设计要求 (1)1.4 国内外研究现状 (2)1.5 抢答器目前存在的主要问题 (2)第2章抢答器的概述 (3)2.1 系统的主要功能 (3)2.2 系统需求分析 (4)2.3 抢答器的工作流程 (4)2.4 抢答器的优点 (5)第3章抢答器的程序设计与仿真实现 (6)3.1程序结构 (6)3.2抢答电路的设计 (7)3.3倒计时电路的设计 (8)3.4声响电路的设计 (10)3.5总电路的设计 (10)第4章设计难点与解决方法及不足 (12)附录:程序使用说明 (13)参考文献 (14)致谢 (15)第1章绪论1.1选题意义当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。

数字电子课程设计 智力竞赛抢答器

数字电子课程设计 智力竞赛抢答器

电子课程设计报告题目:智力竞赛抢答器学生姓名专业学号指导教师日期一、完成课题的工作基础和实验条件【工作基础】LPS305直流稳压电源提供5V固定电压TFG2006V-6MHz信号发生器提供峰峰值1V、频率1Hz的方波【实验条件】各类CMOS器件器件列表如下:【4518】1个【4013】2个【4072】3片【4069】3片二、设计任务和要求【智力竞赛抢答器】电路简介:智力竞赛抢答器是为智力竞赛参赛选手答题时进行抢答而设计的一种优先判决电路。

参赛选手可以分为若干组,抢答时每组选手对主持人提出的问题要在最短的时间内作出判断,并按下抢答键回答问题。

竞赛规则:主持人宣布答题开始时,选手可以选择抢答或放弃,如果选手提前抢答视为犯规。

【设计要求】1、计时功能:主持按下启动键后,秒时钟计时开始。

2、正常抢答:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答,秒时钟自动停止;3、放弃抢答:主持按下启动键后,秒时钟计时开始,40s还没有选手按下抢答键,表示放弃抢答,秒时钟自动停止;4、提前抢答:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规;5、封锁功能:当第一个选手按下抢答键后,电路将其他各组按键封锁,使其不起作用;6、复位功能:电路具有复位功能。

【主要技术性能指标】1、选手席位数量:4个主持人:1个2、席位指示灯显示:LED数码管,1个正常抢答时显示席位号(1~4),犯规抢答时显示席位号并发出响声提示。

3、抢答时间范围:0s~40s。

4、时间显示方式:LED数码管,两个。

5、复位方式:手动,按钮复位。

注:按钮可由开关代替。

三、电路基本原理该电路设计中共包括三部门主要电路:1、抢答器电路2、计时电路3、报警电路下面分别加以说明:【抢答器电路】主要功能:在规定时间40s之内某选手按下抢答键时,显示席位号,表示正常抢答【计时电路】主要功能:主持按下启动键后,秒时钟计时开始,40秒后停止【报警电路】主要功能:主持人未按下启动键时,某选手就按下抢答键,显示对应席位号,并发出响声,表示抢答犯规四、实验与调试【仿真完成后根据具体实验过程对电路图所作修改】1、连接显示选手席位号的译码器的四输入或门由于所发4072只有三片,器件不够,因而改成非门加与非门2、测试计时器功能时可适当加快信号发生器所提供的信号频率,以加快计时所用时间,方便观测【实验过程中注意事项】1、各部门主要电路分别测试,例如首先连接好计时电路并检测4518性能2、电路连接过程中注意不要带电改电路,切断电源后再插拔线路3、插拔芯片时须小心管脚,勿折断4、注意芯片接地与接电源端,切勿接反五、设计体会通过本次四路抢答器的电路设计,我对电子电路的设计过程有了更清楚的认识。

数电课程教学设计五人抢答器设计

数电课程教学设计五人抢答器设计

烟台南山学院数字电子技术课程设计题目抢答器姓名:所在学院:计算机与电气自动化学院所学专业:电气工程及自动化班级学号指导教师完成时间:目录1 采用数字电路 (1)2、基本原理电路 (2)2.1原理与分析 (2)3、电路元件的选择及参数 (2)3.1 〈74LS148〉 (2)3.2 〈74LS192〉 (4)3.3 〈74LS47〉 (5)3.4 〈NE555〉 (6)4、电路设计 (7)4.1单元电路设计 (7)4.1.1抢答器电路 (7)4.1.2 定时电路 (8)4.1.4 时序控制电路 (10)4.2 整体电路 (10)1 采用数字电路定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。

主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

扩展电路完成定时抢答的功能。

图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。

当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。

当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。

当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

2、基本原理电路2.1原理与分析其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。

智力竞赛抢答器课程设计

智力竞赛抢答器课程设计

智力竞赛抢答器课程设计课程名称:智力竞赛抢答器课程设计课程目标:1. 理解智力竞赛抢答器的原理和使用方法;2. 学会设计和制作智力竞赛抢答器;3. 培养学生的团队合作和创新能力;4. 提高学生的智力竞赛能力。

课程内容:第一课:智力竞赛抢答器原理介绍- 介绍智力竞赛抢答器的定义、作用和分类;- 分析智力竞赛抢答器的原理及其技术支持;- 给出智力竞赛抢答器的典型应用场景。

第二课:智力竞赛抢答器设计要点- 分析智力竞赛抢答器的设计要点,包括外观设计、按键设计、显示设计等;- 引导学生思考如何根据不同的智力竞赛需求设计合适的抢答器。

第三课:抢答器电路设计与制作- 介绍抢答器电路的基本原理和常用元器件;- 指导学生进行抢答器电路的设计与制作;- 学生利用提供的电路设计软件进行实践操作。

第四课:控制程序编写与调试- 介绍抢答器控制程序的编写方法和基本语法;- 引导学生编写控制程序,实现抢答器的功能;- 学生通过调试程序,确保抢答器能够正常工作。

第五课:抢答器实验与测试- 学生利用自己制作的抢答器进行实验和测试;- 分析实验结果,总结抢答器的性能和可靠性;- 学生提出改进抢答器的想法,并进行相应的修改。

第六课:智力竞赛抢答器应用拓展- 介绍智力竞赛抢答器的应用拓展,如音乐竞赛、知识竞赛等; - 引导学生思考如何根据不同的竞赛需求设计更复杂功能的抢答器;- 学生进行抢答器应用的创新设计。

课程评估:1. 学生的课堂参与度和合作能力;2. 学生的抢答器设计和制作成果;3. 学生编写的抢答器控制程序的质量;4. 学生对抢答器实验结果和改进建议的总结。

师生互动方式:1. 教师授课引导学生学习知识;2. 学生分组合作完成抢答器设计和制作任务;3. 学生展示自己的抢答器成果,互相评价和交流经验;4. 教师和学生共同总结课程内容,提出问题和改进建议。

智力竞赛抢答器课程设计

智力竞赛抢答器课程设计

智力竞赛抢答器课程设计一、课程目标知识目标:1. 学生能理解并掌握抢答器的基本电路原理,包括按钮控制、指示灯显示等。

2. 学生能了解并描述数字电路基础知识,如逻辑门、触发器等,并明白其在抢答器中的应用。

3. 学生能够解释抢答器中涉及的电子元件的功能和作用,如电阻、电容、二极管、三极管等。

技能目标:1. 学生能够运用所学知识,设计并搭建一个简单的智力竞赛抢答器电路。

2. 学生通过实际操作,掌握基本的电路连接和调试技巧,提高动手能力。

3. 学生能够运用抢答器进行实际竞赛,提升反应速度和团队协作能力。

情感态度价值观目标:1. 学生通过课程学习,培养对电子技术的兴趣,激发创新意识。

2. 学生在团队协作中,学会互相尊重、支持和沟通,培养良好的合作精神。

3. 学生在竞赛过程中,树立公平竞争的意识,培养积极向上的心态。

课程性质:本课程为实践性较强的电子技术课程,结合理论知识与动手实践,培养学生电子技术应用能力。

学生特点:五年级学生对新鲜事物充满好奇,动手能力强,但电子技术知识有限,需要教师引导。

教学要求:注重理论与实践相结合,以学生为主体,教师引导,培养学生自主探究和团队协作能力。

通过课程目标的实现,使学生在知识与技能、情感态度价值观方面得到全面提升。

后续教学设计和评估将围绕这些具体的学习成果展开。

二、教学内容1. 数字电路基础知识:逻辑门原理、触发器功能及其在抢答器中的应用。

教材章节:第五章《数字电路基础》内容列举:逻辑门电路、RS触发器、JK触发器等。

2. 抢答器电路原理:按钮控制、指示灯显示、抢答成功判定等。

教材章节:第六章《电子技术应用》内容列举:按钮开关、指示灯、时钟信号、计数器等。

3. 电子元件功能与作用:电阻、电容、二极管、三极管等。

教材章节:第四章《常用电子元件》内容列举:电阻的阻值、电容的容值、二极管单向导电性、三极管放大作用等。

4. 电路连接与调试技巧:实物电路搭建、调试方法、故障排查等。

PLC五人抢答器设计报告

PLC五人抢答器设计报告
2.1 设计要求
1.由五组竟猜抢答,当主持人按下开始抢答按钮后,五组选手开始抢答,数 码管开始显示九秒倒计时。
2.如果在九秒内无人抢答该题作废,再按抢答键无效;在抢答时间内如果有 人抢答,抢答成功的选手的台前闪烁对应组号码,并报警鸣音,闪烁 3 秒后组号 常亮,抢答成功后,开始进行答题倒计时 30 秒,在有效答题时间内可以对对应 组进行计分,有显示分数和总场积累分数的功能。当这次答题结束后,主持人次 按复位键后,开始进行新一轮抢答。
3.五组中,第一组两个按纽任一个抢先按下有效,第二组两个按纽同时抢先 按下有效,其他组一个按纽抢先按下有效。
2.2 方案分析
抢答器设计是一个经典问题,有很多方法可以实现,可以采用数字电路,单 片机系统,PLC 系统来实现,相比之下 PLC 实现具有可靠性高,设计简单等特点。 由于系统有起动和停止选项,为了简单明了,将系统工作状态信号用辅助继电器 M6 来表示。对于抢答部分,当 X1-X7 七个输入按钮中的某一个或两个按下之后, 系统应该记录其状态,如果采用指示灯形式表示,可以直接将其输出给输出继电 器 Y,但是由于我们采用数码管输出显示,输出继电器部分已经被占用,所以此
抢答器的传送数据
显示数字 0 1 2 3 4
共阴极段码 H3F H06 H5B H4F H66
gfedcba 0111111 0000110 1011011 1001111 1100110
5
PLC 课程设计
5
H6D
1101101
6
H7D
1111101
7
H7
0000111
8
H7F
1111111
9
H6F
PLC 课程设计
一 前言
近年来随着微处理器、计算机和数字通信的不断更新,可编程控制器由于其优 良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。

课程设计《数字式智力抢答器》

课程设计《数字式智力抢答器》

课程设计《数字式智力抢答器》系统设计要求:设计一个智力抢答器,可以判断第一抢答者,并具备计分功能。

抢答器有4路抢答按钮。

设置抢答器使能信号,当此信号有效时,若参赛者按下抢答开关,则抢答器能判断出第一抢答者并指示该组抢答成功,其他参赛者的抢答开关不起作用。

若提前抢答,则对相应的参赛者发出警报。

系统具有清零功能。

当清零信号有效时,抢答器对前一轮抢答的第一抢答者判断结果进行清零,恢复为初始状态。

数字式智力抢答器还具有计分功能。

如果抢答成功的参赛者满足得分条件,则增加相应分数,答错不扣分。

一、系统方案设计根据系统设计要求,系统主要由三个主要的电路模块组成,分别为第一抢答判断电路、计分电路、显示电路。

其中第一抢答判断电路主要完成最快抢答者的判断功能;计分电路存储每组竞赛者的分数;显示电路显示抢答器的状态和各组的分数。

因此,数字竞赛抢答器的输入信号包括:复位信号CLR、抢答器使能信号EN、四组抢答按钮A/B/C/D、加分信号ADD;输出信号:四组抢答状态的显示LEDx;对应的得分SCOREx;抢答器抢答成功的组别显示、报警信号FALSE。

二、单元电路设计1、第一抢答判断电路第一抢答判断电路模块具有第一抢答信号的鉴别和锁存功能。

(1)当复位CLR信号有效(高电平)时,电路清零。

(2)当使能信号EN为低电平时,如果有人抢答,则提前抢答报警信号FALSE[3..0]的对应位输出高电平,以示警告。

(3)当EN为高电平时,抢答开始。

首先将报警信号FALSE[3..0]清零,然后根据四组竞赛者的抢答情况选择最先抢答的信号,显示抢答状态显示信号LEDA—LEDD。

(即LEDA—LEDD对应输出高电平)。

抢答成功者的组别编号由信号Q[3..0]输出,并锁存抢答器此时的状态,直到CLR信号有效为止。

(4)在每一轮新的抢答之前,都要使用复位信号CLR,清除上一轮抢答留下的痕迹,使电路恢复初始状态。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity qd isport(clr,en:in std_logic;A,B,C,D:in std_logic;LEDA,LEDB,LEDC,LEDD:out std_logic;--抢答成功指示灯FALSE:out std_logic_vector(3 downto 0);--提前抢答报警Q: out std_logic_vector(3 downto 0)); --抢答成功组显示End qd;Architecture behave of qd isSignal temp: std_logic_vector(3 downto 0);Signal TAG : std_logic; --设置锁存标志位BeginTemp<=A&B&C&D;PROCESS(clr,EN,A,B,C,D)BEGINIF clr=’1’ then -- 电路清零Q<=”0000”;LEDA<=’0’;LEDB<=’0’;LEDC<=’0’;LEDD<=’0’;FALSE<=”0000”;TMG<=’0’;ELSIF (EN=’0’) THEN -- 提前抢答报警IF A=’1’ THEN --A提前抢答报警FALSE(3)<=’1’;END IF;IF B=’1’ THENFALSE(2)<=’1’;END IF;IF C=’1’ THENFALSE(1)<=’1’;END IF;IF D=’1’ THENFALSE(0)<=’0’;END IF;ELSE –抢答开始FALSE<=”0000”;IF TAG=’0’ THEN -- 尚未有抢答成功者IF TEMP=”1000” THENLEDA<=’1’;LEDB<=”0”;LEDC<=”0”;LEDD<=’0’;Q<=”1000”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0100” THENLEDA<=’0’;LEDB<=”1”;LEDC<=”0”;LEDD<=’0’;Q<=”0100”; --显示B抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0010” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”1”;LEDD<=’0’;Q<=”0010”; --显示A抢答成功TAG<=’1’; --锁存此状态ELSIF TEMP=”0001” THENLEDA<=’0’;LEDB<=”0”;LEDC<=”0”;LEDD<=’1’;Q<=”0001”; --显示A抢答成功TAG<=’1’; --锁存此状态END IF;END IF;END IF;END PROCESS;END behave;2、计分电路计分电路在参赛者抢答成功后,根据比赛情况进行比较分数的调整。

数字智力竞赛抢答器

数字智力竞赛抢答器

物理与机电工程学院课程设计报告数字智力竞赛抢答器一、设计任务与要求1、比赛中为了准确、公正、直观地判断出第一枪答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。

同时还应设计记分、犯规和奖惩记录等多种功能。

2、①、基本部分(1)抢答器可供四组使用,组别键(信)号可以锁存;抢答指示用发光二极管(LED)。

(2)记分部分独立(不受组别信号控制),至少用2位二组数码管指示,步进有10分,并且具有预置、递增、递减功能。

(3)要求性能可靠、操作简便。

(4)自制稳压电源;②、发挥部分(1)增加抢答路数。

(2)数码管显示组别键(信)号。

(3)自动记分(受组别信号控制):当主持人分别按步进得分键、递增键或递减键后能够将分值自动累计在某组记分器上)。

(4)超时报警。

(5)其它。

二、方案设计与论证原理分析:1.抢答器(1)抢答器同时供七名选手或七个代表队比赛,分别用八个按钮S0~S6表示。

(2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。

(3)抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。

(4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30 s)。

当主持人启动“开始”键后,定时器进行减计时。

(5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

(6)如果定时时间已到,无人抢答,该次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

2.计分器(1)设置三个开关,分别具有清零,加十,减十功能的开关,该开关有主持人控制。

(2)计分器具有锁存和显示功能,即主持人按下开关时,执行相应的功能。

方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。

这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。

方案2:采用D触发器和译码器来完成抢答部分。

数电课程设计五人抢答器设计

数电课程设计五人抢答器设计

烟台南山学院数字电子技术课程设计题目抢答器姓名:所在学院:计算机与电气自动化学院所学专业:电气工程及自动化班级学号指导教师完成时间:目录1 采用数字电路 (1)2、基本原理电路 (2)2.1原理与分析 (2)3、电路元件的选择及参数 (2)3.1 〈74LS148〉 (2)3.2 〈74LS192〉 (4)3.3 〈74LS47〉 (5)3.4 〈NE555〉 (6)4、电路设计 (7)4.1单元电路设计 (7)4.1.1抢答器电路 (7)4.1.2 定时电路 (8)4.1.4 时序控制电路 (10)4.2 整体电路 (10)1 采用数字电路定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。

主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。

扩展电路完成定时抢答的功能。

图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。

当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。

当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。

当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。

2、基本原理电路2.1原理与分析其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。

课程设计报告--五人组抢答器控制设计

课程设计报告--五人组抢答器控制设计

荆楚理工学院课程设计成果学院:电子信息工程学院班级:11电气工程及其自动化学生姓名:刘诗权学号:2011401020127设计地点(单位):荆楚理工学院设计题目:五人组抢答器控制设计完成日期:2013 年12 月20 日指导教师评语: ________________________________________________________________________________________________________ _________________________________________________________________ _________________________________________________________________ ______ __________ _成绩(五级记分制):______ __________教师签名:_________________________目录1 设计任务和要求1.1 PLC系统设计内容……………………………………………1.2 系统控制要求…………………………………………………2 设计方案2.1 总体设计方案说明……………………………………………2.2 PLC控制系统组成方框图…………………………………………3 系统硬件设计3.1 PLC的选型及硬件配置………………………………………3.2 系统主电路……………………………………………………3.3 输入输出地址分配……………………………………………3.4 PLC的控制电路………………………………………………4 PLC控制软件设计与调试4.1 系统程序设计…………………………………………………4.2 调试结果与分析………………………………………………5 总结......................................................... ...............................................6 参考文献......................................................... .......................................1 设计任务和要求1.1 PLC系统设计内容PLC控制课程设计主要内容包括:1、分析被控对象的工艺条件和控制要求。

五人抢答器

五人抢答器

五人多数抢答器设计任务:五人优先抢答器。

设计要求:1)五人抢答逻辑:只有一个最先抢答有效;2)在主持人控制下,10秒内抢答有效;3)采用数码管显示抢答10秒倒计时,若有抢答,直接结束,显示结果;4)抢答结束后用数码管显示抢答结果,抢答有效人编号,若有异常,显示E;5)设主持人控制键,复位键:控制键:启动抢答;复位键:系统复位。

6)自制实验方案,完成设计任务。

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_ARITH.all;use ieee.std_logic_UNSIGNED.all;ENTITY QIANG7 ISPORT(FUWEI,KAISHI,CLK:IN STD_LOGIC;I1,I2,I3,I4,I5:IN STD_LOGIC;Q1,Q2,Q3,Q4,Q5:OUT STD_LOGIC;SHIJIAN:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END QIANG7;ARCHITECTURE FUNG OF QIANG7 ISBEGINPROCESS(I1,I2,I3,I4,I5,CLK,KAISHI,FUWEI)VARIABLE SUO:BOOLEAN;BEGINIF (FUWEI='0') THENQ1<='0';Q2<='0';Q3<='0';Q4<='0';Q5<='0';SUO:=FALSE;SHIJIAN<="1010";ELSIF (CLK'EVENT AND CLK='1') THENIF (KAISHI='1') THENIF SHIJIAN="0000" THENSUO:=TRUE;ELSESHIJIAN<=SHIJIAN-1;IF (I1='1') THENIF(NOT SUO) THENQ1<='1';SUO:=TRUE;SHIJIAN<="0000";END IF;ELSIF (I2='1') THENIF (NOT SUO) THENQ2<='1';SUO:=TRUE;SHIJIAN<="0000"; END IF;ELSIF (I3='1') THEN IF (NOT SUO) THEN Q3<='1';SUO:=TRUE;SHIJIAN<="0000"; END IF;ELSIF (I4='1') THEN IF (NOT SUO) THEN Q4<='1';SUO:=TRUE;SHIJIAN<="0000"; END IF;ELSIF (I5='1') THEN IF (NOT SUO) THEN Q5<='1';SUO:=TRUE;SHIJIAN<="0000"; END IF;END IF;END IF;END IF;END IF;END PROCESS;END FUNG;。

五路数字抢答器实习报告

五路数字抢答器实习报告

五位数字抢答器设计实习报告一、实习的性质、目的和意义本课程是自动化专业的一门必修的专业实习课。

通过该实习,使学生进一步加深对《模拟电子技术》、《素质电子技术》、《电路分析》等课程相关理论知识的理解;通过该实习,使学生初步掌握电子电路设计、制作、调试能力;通过实习,使学生初步掌握一种电子电路原理图和PCB设计ALTIUM DESIGNDER工具的使用方法。

二、实习的要求(1)初步学会使用一种电子电路设计ALTIUM DESIGNDER工具软件的使用方法;(2)初步掌握电子电路设计、制作、调试方法;(3)初步掌握设计报告的格式要求和撰写方法。

抢答器设计一、抢答器设计任务与要求、设计原理与参考电路(一)设计任务与要求1、抢答器同时供5名选手或5个代表队比赛,分别用5个按钮S1~S5表示。

2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。

3、抢答器具有锁存与显示功能。

即选手按动按钮,锁存相应的编号,并在LED发光二极管显示,每个抢答者有两个LED信号灯,利用信号灯表示抢中与否:绿灯表示抢中,红灯表示没有抢中,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

1、抢答器电路原理如图2,该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码器译码输出使对应编号的二极管发光;二是禁止其他选手按键操作无效。

工作过程:开关S置于"清除"端时,RS触发器的输出端均为0 ,4个触发器输出置0,使74LS148的控制端为0,使之处于工作状态。

当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S2),74LS148的输出经RS锁存后,74LS138处于工作状态,3Q2Q1Q=101,经过非门后变为010。

使第二组的绿灯亮,其他组的红灯亮。

此外,4Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

EDA数字课程设计题目五人智力抢答器学院通信与电子工程学院专业班级通信093 学生姓名张美玲指导教师祁晓玉2012年6月28 日摘要随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。

抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。

做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。

智力竞赛是一种生动活泼、寓教于乐的活动形式,而抢答是智力竞赛中非常常见的一种答题方式。

在进行智力竞赛时,往往都是几个组抢答问题,这就要求在时间上严格地区分先后。

若是仅凭主持人的主观判断,则很容易造成错判、误判。

为此,我们需要设计一种具备自动锁存、置位、清零等功能的智能抢答器来解决这些问题。

该电路就是为智力竞赛抢答所设计,具有反应迅速,精确无误,操作简单等特点。

电路主要由三部分组成:数字抢答电路、时序控制电路以及报警电路。

其中数字抢答部分有一个74LS74触发器、74LS160计数器、74LS190和LED数码管显示器组成,可以将五位抢答者的按钮信号通过编码、锁存及译码后输出,驱动LED数码管显示出最先抢答者的编号。

而时序控制电路的功能是当参数选手按下抢答器时,使扬声器发出报警声。

关键字:抢答编码锁存译码显示报警AbstractAlong with the development of science and technology, make people learn science, technology, and knowledge to learn the techniques of diversity. Vies to answer first device as a tool, has been widely used in all kinds of intelligence and knowledge competition situation. But vies to answer first the use frequency of device is quite low, and some either making complicated or low reliability, reduce the interest. As a unit if only purchased a vies to answer first device on the economy can withstand though, but the number of times each year to use very little, often for long-term storage (electronic parts) vies to answer first is damaged, again the trouble and timeliness purchase would affect activities, so the design of this vies to answer first deviceIt is a lively competition, fun activities in the form of, and vies to answer first is very common in the quiz answer a way. In intelligence contest, often are several group vies to answer first problem, it is required in time in the region has strictly. If only with the host of the subjective judgment, it is very easy to create the falsely accused, misjudgment. For this, we need to design a kind of have to be automatic lock to save, buy a, reset function of intelligent vies to answer first device to solve these problems.This circuit is designed for quiz vies to answer first, a reaction rapid, accurate and correct, simple operation, etc. The main circuit of three parts: the digital vies to answer first circuit, sequential control circuit and alarm circuit. Which digital vies to answer first parts have a 74 LS74 flip-flop, 74 LS160 counter, 74 LS190 and LED digital display of tube, can will be five vies to answer first the signal through the button coding, lock to save and after decoding output, drive LED digital display first vies to answer first the tube out the Numbers. And the sequential control circuit function is when the parameters according to the next player vies to answer first, make the speaker sends out the alarm sound.Key word: responder coding latch doublekill give an alam目录摘要 (I)Abstract (II)第1章绪论 (1)1.1选题意义 (1)1.2设计思路及目标 (1)1.3设计要求 (1)1.4 国内外研究现状 (2)1.5 抢答器目前存在的主要问题 (2)第2章抢答器的概述 (3)2.1 系统的主要功能 (3)2.2 系统需求分析 (4)2.3 抢答器的工作流程 (4)2.4 抢答器的优点 (5)第3章抢答器的程序设计与仿真实现 (6)3.1程序结构 (6)3.2抢答电路的设计 (7)3.3倒计时电路的设计 (8)3.4声响电路的设计 (10)3.5总电路的设计 (10)第4章设计难点与解决方法及不足 (12)附录:程序使用说明 (13)参考文献 (14)致谢 (15)第1章绪论1.1选题意义当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。

本抢答器通过十分巧妙的设计仅用数字芯片便实现了数显抢答的功能,与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、制作方便等优点。

本抢答器采用了数字显示器直接指示剩余时间,自动锁存显示结果的设计思想,因而本抢答器具有显示直观,不需要人干预的特点,而且在显示时抢答器会发出声响使效果更为生动。

1.2设计思路及目标五个参赛者没人一个开关,主持人控制总开关,主持人制高电平后,系统进入准备工作,有人抢答时,相应的二极管发光,并且此时其他人按下按钮抢答不会有反应。

抢答完毕后主持人制低电平是复位归零,然后主持人再制高电平进入下一轮抢答,依次循环下去。

五路抢答器要具有主持人控制的功能,同时在抢答控制中将五个抢答输入端与D触发器的输入端相接,在高电平时钟信号的触发下实现最先抢答者优先通过,并在有人抢答后立刻屏蔽时钟信号,是较晚的时钟信号无效。

此时,喇叭鸣响2秒,表示有人抢答成功。

同时,倒计时电路启动,开始进行10秒回答倒计时,倒计时结束启动鸣响电路,使喇叭鸣响2秒,提示回答超时。

在回答过程中,一旦抢答者回答完毕主持人可以按动按键是倒计时结束,同时取消喇叭鸣响。

另外,主持人还具有在任意时间停止抢答的功能。

1.3设计要求①五人参赛每人一个按钮,主持人一个按钮,按下就开始;②每人一个发光二极管,抢中者灯亮;③有人抢答时,喇叭响;④答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

1.4 国内外研究现状抢答器作为一种电子产品,早已广泛应用于各种智力和知识竞赛场合,但目前所使用的抢答器有的电路较复杂不便于制作,可靠性低,实现起来很困难;有的则用一些专用的集成块,而专用集成块的购买又很困难。

为适应高校等多代表队单位活动的需要而设计一个多功能抢答器,这种抢答器具有电路简单,元件普通,易于购买等优点,很好地解决了制作者制作困难和难于购买的问题。

在国内外已经开始了普遍的应用。

1.5 抢答器目前存在的主要问题随着改革开放事业的不断深入,促使人们学科学、学技术、学知识的手段多种多样,抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。

但抢答器的使用频率校低,且有的要么制作复杂,要么可靠性低,减少兴致。

作为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展。

但目前多数抢答器存在3个不足之处:第一,现场线路连接复杂。

因为每个选手位于抢答现场的不同位置,每个选手与控制台之间要有长长的连接线。

选手越多,连接线就越多、越乱,这些连接线不仅影响了现场的美观,而且降低了抢答器的可靠性,增加了安装的难度,甚至影响了现场人员的走动。

第二,电路复杂。

因为单片机只完成号码处理、计时、数据运算等功能,其它功能如选手号码的识别、译码、计分显示等仍只能通过数字集成电路完成。

采用单片机扫描技术识别选手抢按号码时,电路的延迟时间较大。

第三,选手抢按成功。

第2章抢答器的概述2.1 系统的主要功能本系统是借用单片机采用模块化设计的智能抢答器,主控与参赛者设为终端分系统。

参赛者分系统设有:抢答按纽、计时显示、提示功能等(根据需要可另设或多设相关功能);主控分系统有:开始与结束控制按钮、时限设定、各种相关显示调控功能等(根据需要也可另设或多设相关功能)。

相关文档
最新文档