北理工微机实验一

合集下载

北理工5系微波技术基础实验报告

北理工5系微波技术基础实验报告

从实验数据可以看出, 增益压缩点在输入约为 2.5dBm 处, 1dB 则接收机的动态范围为: -75dBm~2.5dBm
六、 实验问题探讨
(1)详细描述图像传输系统中发射机/接受机的各个组成部分及其功能。 答:摄像头采集的信号送入调制器进行频率调制,在经过一次变频后,滤波,放大,通过天 线发射出去。经过空间传播,接受甜心将信号接收进来,在经过低噪放大,滤波,下变频到 480MHZ,再经中频滤波,滤去谐波和杂波,经视频解调器,解调后输出到显示器还原图像 信号。 (2)该发射机的输入功率、接收机增益与接受机灵敏度? 答:输入为中心频率为 2.2GHz 的微波信号,测量信号强度为-60dBm,测量仪器与测试点间 传输线损耗为-2.3dB,接收机中频放大后信号为中心频率 480MHz 的中频信号,测试信号强 度 为 -39dBm , 传 输 线 损 耗 为 -1dB( 用 了 另 一 个 传 输 线 ) 。 则 接 收 机 增 益 为 : -39-(-60)+2.3+1=23.3dB 。 测试接收机灵敏度为-88dBm,输入信号最小为-85dBm,此时传输线损耗为-3dB。 (3)若在接收机的低噪声放大器前加入衰减器,会明显改变图像质量,而在中频放大器前加
北京理工大学 5 系《微波技术基础》实验报告
入波导中, 听过没一根金属棒伸进波导内部长度的变化改变反射波的幅度和相位, 可以将传 输线从终端短路状态调整到终端匹配状态。
三、 实验步骤
1、首先按图 1 所示将测量系统安装好,然后接通电源和测量仪器的有关开关,观察微 波信号源有误输出只是。若有知识,当改变衰减量或移动测量闲谈整的位置是,测量放大器 的表头指示会有起伏的裱花, 这说明系统意在工作了。 但这并不一定是最佳工作状态。 例如, 若是反射式速调管信号源的话还应把它调到输出功率最大的震荡模式, 凭借和调节信号源处 的短路活塞,以使能量更有效地传向负载。若有必要,还可以调节测量线探头座内的短路活 塞,以获得较高地灵敏度,或者调节测量线探针深入波导的程度,以便较好地拾取信号的能 量(注意,深入太多会影响波导内的场分布) 。对于其他微波信号源也应根据说明书调到最 佳状态。有时信号源无输出,但测量放大器也有一定指示。这可能是热噪声或其他杂散场的 影响;弱信号原有输出,但测量放贷的指示不稳定或者当测量线探针移动式,岂止是不便, 均属不正常情况,应检查原因,使之正常工作。系统正常工作时,可调节测量放大器的有关 旋钮或可变衰减器的衰减量(衰减量不能为零,否则会烧坏晶体二极管,最低调到 5) ,是 测量放大器的指示便于读数。 2、 波导中横向场分布测量。 将图 1 中横向场分布测量线检波器输出连接至测量放大器, 将横向电场探针一直波导宽边中心位置, 调整测量放大器灵敏度和可变衰减器是测量放大器 表头读书处于 50~80 范围内(注意:切不要使表头满刻度,满刻度时会使指示针变形) 。 波导中 TE10 模横向场分布为预先函数,移动横向场分布测量线中电场探针从波导宽边 中心至边缘等间距都 5 个测量放大器读书 3、测量波导波长。将图 1 中纵向场分布测量线检波器输出连接至测量放贷,调整测量 放大器灵敏度和可变衰减器是测量放贷表头读书处于 50~80 范围内 (注意: 切不要使表头满 刻度,满刻度时会使指示针变形) 。 测量g 时应将系统终端短路(将终端三螺调配器的每一根金属棒推出波导,此时利用 三螺调配器的终端短路片实现终端短路) ,则系统呈纯驻波状态(理论上) ,其波导中场强的 纵向幅度如图 3 所示。当测量线的探针处于 Z1 和 Z2 位置时,测量放大器的指示为最小(理 论上为零) ,此时从测量线的刻度上即可求出波导波长g =2|Z2-Z1|。在实际测量中,由于受 设备的精度、灵敏度的限制,以及其他因素的影响,很难精确的确定 Z2 和 Z1 的位置。为提 高测试精度,可采用“平均法”测定它们的位置,如图 3 所示。为了确定 Z1,使在 Z1 两侧 (尽量地靠近 Z1)d1 和 d2 处测量放大器有相同的指示数,则 Z1=(d1+d2)/2,同理可得 Z2= (d3+d4)/2.这比直接去测 Z1 和 Z2 要精确些。

北京理工大学信号与系统实验讲义电子版

北京理工大学信号与系统实验讲义电子版

实验1 信号的时域描述与运算 一、实验目的①掌握信号的MATLAB 表示及其可视化方法。

②掌握信号基本时域运算的MA TLAB 实现方法。

③利用MA TLAB 分析常用信号,加深对信号时域特性的理解。

二、实验原理与方法1. 连续时间信号的MATLAB 表示连续时间信号指的是在连续时间范围内有定义的信号,即除了若干个不连续点外,在任何时刻信号都有定义。

在MATLAB 中连续时间信号可以用两种方法来表示,即向量表示法和符号对象表示法。

从严格意义上来说,MATLAB 并不能处理连续时间信号,在MATLAB 中连续时间信号是用等时间间隔采样后的采样值来近似表示的,当采样间隔足够小时,这些采样值就可以很好地近似表示出连续时间信号,这种表示方法称为向量表示法。

表示一个连续时间信号需要使用两个向量,其中一个向量用于表示信号的时间范围,另一个向量表示连续时间信号在该时间范围内的采样值。

例如一个正弦信号可以表示如下: >> t=0:0.01:10; >> x=sin(t);利用plot(t,x)命令可以绘制上述信号的时域波形,如图1所示。

如果连续时间信号可以用表达式来描述,则还可以采用符号表达式來表示信号。

例如对于上述正弦信号,可以用符号对象表示如下: >> x=sin(t); >> ezplot(X);利用ezplot(x)命令可以绘制上述信号的时域波形012345678910-1-0.8-0.6-0.4-0.200.20.40.60.81Time(seconds)图1 利用向量表示连续时间信号常用的信号产生函数 函数名 功能 函数名 功能 heaviside 单位阶跃函数 rectpuls 门函数 sin 正弦函数 tripuls 三角脉冲函数 cos余弦函数square周期方波sinc sinc 函数 sawtooth 周期锯齿波或三角波 exp指数函数-6-4-20246-1-0.50.51t图 2 利用符号对象表示连续时间信号sin(t)2.连续时间信号的时域运算对连续时间信号的运算包括两信号相加、相乘、微分、积分,以及位移、反转、尺度变换(尺度伸缩)等。

北理工微机原理上机实验考试题目类型及参考程序

北理工微机原理上机实验考试题目类型及参考程序

北理工微机原理上机实验考试题目类型及参考程序1到100奇数的累加和显示:DATA SEGMENTSUM DB 4 DUP(?),'$'DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA START:MOV AX,DATA MOV DS,AXMOV AX,0MOV BX,1MOV CX,50AGAIN:ADD AX,BXADD BX,2LOOP AGAINMOV CX,4LEA SI,SUMMOV [SI],AXNEXT: MOV AX,[SI]ROL AX,4MOV [SI],AXAND AX,000FHCMP AL,9JA NEXT1ADD AL,30HMOV DL,ALMOV AH,2INT 21HJMP GOONNEXT1:ADD AL,37HMOV DL,ALMOV AH,2INT 21HGOON:LOOP NEXTMOV DL,48HMOV AH,2INT 21HMOV AH,4CHINT 21HCODE ENDSEND START八个数判断奇偶个数:DATA SEGMENTJI DB 0OU DB 0BUFFER DB 01H,02H,03H,04H,05H,06H,07H,08H DATA ENDS CODE SEGMENTASSUME CS:CODE,DS:DATASTART:MOV AX,DATAMOV DS,AXMOV CX,8LEA SI,BUFFERAGAIN:MOV AL,[SI]MOV BL,2MOV AH,00HDIV BLAND AH,AHJNZ JISHUINC OUJMP GONEJISHU:INC JIGONE: INC SILOOP AGAINMOV DL,JIADD DL,30HMOV AH,2INT 21HMOV DL,' 'MOV AH,2INT 21HMOV DL,OUADD DL,30HMOV AH,2INT 21HMOV AH,4CHINT 21HCODE ENDSEND START十个有符号数从大到小排序并显示DATA SEGMENTTABLE1 DB 11H,33H,22H,44H,55H,66H,77H,88H,99H,0AH DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATASTART:MOV AX,DATAMOV DS,AXMOV CH,9NEXT:XOR AX,AX LEA SI,TABLE1 MOV CL,9 CLDNEXT1: LODSBCMP AL,[SI] JG NEXT2 XCHG AL,[SI] MOV [SI-1],AL NEXT2:DEC CLJNZ NEXT1 DEC CHJNZ NEXT LEA SI,TABLE1 MOV CX,10 NEXT3:XOR AX,AX CLD LODSBMOV BX,16 XOR DX,DX DIV BX XCHG DL,AL PUSH AX ADD DL,30H CMP DL,'9' JBE NEXT4 ADD DL,07HMOV AH,2INT 21HPOP AXMOV DL,ALADD DL,30HCMP DL,'9'JBE NEXT5ADD DL,07HNEXT5:MOV AH,2INT 21HMOV DL,'H'MOV AH,2INT 21HMOV DL,' 'MOV AH,2INT 21HLOOP NEXT3NEXT6:MOV AH,4CHINT 21HCODE ENDSEND START五个有符号数从小到大排列并显示DATA SEGMENTTABLE1 DB 11H,33H,22H,44H,55H,66H,77H,88H,99H,0AH DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATAMOV AX,DATAMOV DS,AXMOV CH,4红色字体是和上一个程序的不同点NEXT: XOR AX,AXLEA SI,TABLE1MOV CL,4CLDNEXT1:LODSBCMP AL,[SI]JG NEXT2XCHG AL,[SI]MOV [SI-1],ALNEXT2:DEC CLJNZ NEXT1DEC CHJNZ NEXTLEA SI,TABLE1MOV CX,5 NEXT3:XOR AX,AXCLDLODSBMOV BX,16XOR DX,DXDIV BXXCHG DL,ALPUSH AXADD DL,30HCMP DL,'9'JBE NEXT4ADD DL,07H NEXT4:MOV AH,2INT 21HPOP AXMOV DL,ALADD DL,30HCMP DL,'9'JBE NEXT5ADD DL,07H NEXT5:MOV AH,2INT 21HMOV DL,'H'MOV AH,2INT 21HMOV DL,' 'MOV AH,2INT 21HLOOP NEXT3 NEXT6:MOV AH,4CHINT 21H CODE ENDSEND START输出最大值以ASC11码输出DATA SEGMENTMEM DB 12H,56H,89H,0ABH,0DFH,29H,0,87H RESULT DB ? DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATASTART:MOV AX,DATAMOV DS,AXLEA SI,MEMMOV CX,8MOV AL,0 NEXT: CMP AL,[SI] JA L1MOV AL,[SI]L1: INC SILOOP NEXTMOV CX,2LEA SI,RESULT MOV [SI],AL DISP: MOV AL,[SI] ROL AL,4MOV [SI],ALAND AL,0FHCMP AL,9JA NEXT1ADD AL,30H MOV DL,ALMOV AH,2INT 21HJMP NEXT2 NEXT1:ADD AL,37H MOV DL,ALMOV AH,2INT 21HNEXT2:LOOP DISP MOV AH,4CHINT 21HEND START字符串中0,1的个数DATA SEGMENTNUM DW 3FFFHONE DB 0ZERO DB 0DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA START: MOV AX,DATAMOV DS,AXLEA SI,NUMLEA DI,ZEROMOV AX,[SI]LEA SI,ONEMOV CH,16NEXT1:ROL AX,1JC NEXT2INC BYTE PTR [DI]JMP NEXT3NEXT2:INC BYTE PTR [SI]NEXT3:DEC CHJNZ NEXT1NEXT4:MOV AH,4CHINT 21HEND START字符串中0,1的个数带输出DATA SEGMENT NUM DW 3FFFHONE DB 0ZERO DB 0DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA START: MOV AX,DATAMOV DS,AXLEA SI,NUMLEA DI,ZEROMOV AX,[SI]LEA SI,ONEMOV CH,16NEXT1:ROL AX,1JC NEXT2INC BYTE PTR [DI]JMP NEXT3NEXT2:INC BYTE PTR [SI]NEXT3:DEC CHJNZ NEXT1NEXT4:MOV BX,10XOR DX,DXMOV DL,[DI]ADD DL,30HMOV AH,2INT 21HMOV DL,' 'MOV AH,2INT 21HXOR DX,DXXOR AX,AXMOV AX,[SI]XOR AH,AHDIV BXXCHG DL,ALADD DL,30H PUSH AXMOV AH,2INT 21HPOP AXXOR DX,DXMOV DL,ALADD DL,30HMOV AH,2INT 21H NEXT5: MOV AH,4CHINT 21H CODE ENDS END START。

北理工 自动化 微机原理实验一

北理工 自动化 微机原理实验一

微型计算机原理与接口技术软件实验报告(一)班级:学号:姓名:2012.11一、实验题目1、学习并掌握IDE86集成开发环境的使用;2、参考书例4-8,以单步形式观察程序的执行过程;3、修改该程序,求出10个数中的最大值和最小值。

以单步形式观察如何求出最大值、最小值。

二、实验目的1、熟悉IDE86集成开发环境的使用。

2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。

3、锻炼动手编程,独立思考的能力。

三、实验内容3.1题目2设计思路及流程图3.1.1设计思路为实现求和的功能,首先将十个数存入内存中,设定AX为计算结果存储单元,CX为计数器,随后建立循环,将每个数依次加到AX上,直至CX=O,最后将AX中存储的结果移动到SUM存储单元中,结束程序。

3.1.2程序流程图题目2的程序流程图如图1所示:图1题目2的程序流程图3.2题目3设计思路及流程图3.2.1设计思路为实现求取最大值最小值的功能,首先将十个数存入内存中,设定CX为计数器,将第一个数分别存入到MAX与M1N储存单元中,随后建立循环,将每个数依次与MAX(MIN)相比较,如果有大于(小于)MAX(MIN)中的,移入相应存储单元,直至CX=0。

最后将MAX和MIN中存储的数据打印在屏幕上,返回DoS系统,结束程序。

3.2.2程序流程图题目3的程序流程图如图2所示:图2题目3的程序流程图4.1题目2源程序DATA SEGMENT ;定义数据段TAB1E DB12H,23H,34h,45h,56h ;10个加数DB67H,78H z89H z9AH z0FDHSUM DW?DATA ENDSCODE SEGMENT ;定义代码段ASSUMECS:CoDE,DS:DATA,ES:DATASTART: MOVAX z DATAMOVDS,AX ;初始化DSMOVES,AX ;初始化ES1EASUAB1E ;SI指向TAB1EMOVCX,10 ;循环计数器XORAX z AX ;AX为中间结果NEXT: ADDA1z[SI] ;把一个数加到A1中ADCAH z O ;若有进位,则加到AH中INCSI ;指向下一个数1OOPNEXT ;若未加完,继续循环MOVSUM Z AX ;若结束,存结果于SUMH1T ;结束CODE ENDS ;代码段结束END START ;汇编结束DATA SEGMENT ;定义数据段TAB1E DB12H,23H,34h,45h,56h;十个待比较数DB67H,78H,89H,9AH,0FDHMAX DB?MIN DB?A DB,MaxOS ;屏幕提示字符串B DBoDH,0AH「Min:,SDATA ENDSCODE SEGMENT;定义代码段ASSUMECS:CoDE,DS:DATA,ES:DATASTART: MOVAX z DATAMOVDS,A× ;初始化DSMOVES,AX ;初始化ES1EASIJAB1E ;SI指向TAB1EMOVCX z IO ;循环计数器XORAX z AX ;清空AXC1D ;清方向标志DF1ODSB ;A1存入一个8位数MOVMAX z A1 ;将该数送MAXMOVMIN Z A1 ;将该数送MINDECCX ;计数器减一NEXT: 1ODSB ;取下一个数CMPA1Z MAX ;与MAX比较JA1ARGERCMPA1Z MIN JBSMA11ERJMPGOON1ARGER:M0VMAX,A1JMPGOONSM A11ER:MOVMIN z A1GO ON:1OOPNEXT1EADX,AMOVAH z09HINT21HMOVA1z MAXCA11DISP1AY1EADX,BMOVAH z09HINT21HMOVA1z MINCA11DISP1AYMOVAH z4CHINT21H DISP1AYPROCPUSHBXPUSHCX ;若大于转1ARGER;否则与MIN比较;若小于转SMA11ER ;否则转GOON;A1的值存入MAX;转到GOON;A1的值存入MIN;CX-1,若CXWO转NEXT ;获取A的首地址;调用字符串显示功能;MAX值存入A1;调用显示子程序;获取B的首地址;调用字符串显示功能;MIN值存入A1;调用显示子程序;调用返回DoS功能;十六进制显示子程序;保持BX内容;保持CX内容MOVBH z A1MOV CH,2 ;设置循环计数器ROT: MOV C1,4 ;移位次数RO1 BX z C1MOV A1B1AND A1z OFH ;清空A1高四位ADD A1,30H ;0-9转换ASCII码CMP A1z3AHJ1 PRITADD A1,7H ;A-F转换ASCII码PRIT: MOV D1,A1MOV AH,2 ;调用字符显不功能INT 21HDEC CH ;计数器-1JNZ ROT ;CHW0,继续循环POPCX 丁恢复CX内容POPBX 丁恢复BX内容RET ;返回D ISP1AYENDPCODEENDS ;代码段结束END START ;结束五、实验运行结果5.1题目2运行结果前五个数运算结束后寄存器状态:图3 其中ax 值为图4前五个数计算后AX 的值故此时前五个数之和为0104Ho 前八个数运算结束后寄存器状态:×0000图6前八个数计算后AX 的值故此时前八个数之和为026CH o 全部运算结束后,ax 的值为:图7计算结束后AX 的值故十个数的和为0403H 。

北京理工大学微机原理实验报告四

北京理工大学微机原理实验报告四

本科实验报告实验名称:A/D和D/A转换课程名称:实验时间:任课教师:实验地点:实验教师:实验类型:□原理验证■综合设计□自主创新学生姓名:学号/班级:组号:学院:同组搭档:专业:成绩:实验四 A/D和D/A转换一、实验目的1、了解A/D 转换的基本原理,掌握ADC0809 的使用方法。

2、了解D/A 转换的基本原理,掌握DAC0832 芯片的使用方法。

3、了解直流电机控制的基本方法。

二、实验内容与步骤(一)A/D 转换部分1、接线:2、实验电路原理图下图通过实验台左下角电位器RW1 输出0~5V直流电压送入ADC0809 通道0(IN0),利用debug 的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。

启动IN0开始转换: Out 298H读取转换结果: In 298H3、用万用表测量CLOCK、ADD-C、ADD-B、ADD-A 在实验系统上如何联系的。

4、编程按中断方式采集IN0 输入的电压,在屏幕上显示出转换后的数据(用16 进制数)。

5、考虑如果采用IN7 输入的电压,启动开始转换和读取转换结果的地址应该是多少。

6、按查询方式采集IN0 输入的电压,软硬件如何实现。

编程方案1、ADC0809 的IN0 口地址为298H。

2、IN0 单极性输入电压与转换后数字的关系为:其中Ui 为输入电压,UREF 为参考电压,这里的参考电压为+5V电源。

3、一次A/D 转换的程序可以为MOV DX, PortOUT DX,AL ;启动转换;延时IN AL,DX ;读取转换结果放在AL 中(二) D/A 转换部分1、接线:CS /0832 接 Y2 /IO 地址用万用表测量 WR2 和XFER 在实验系统上如何联系的。

2、实验电路原理如图2,DAC0832 采用单缓冲方式,具有单双极性输出端(图中的Ua、Ub),利用debug 输出命令(Out 290 数据)输出数据给DAC0832,用万用表测量单极性输出端Ua 及双极性输出端Ub 的电压,验证数字与电压之间的线性关系。

北京理工大学自动化专业微机原理硬件软件实验分解

北京理工大学自动化专业微机原理硬件软件实验分解

微机原理与接口技术硬件实验报告班级:姓名:学号:实验一: 8259中断控制器实验一、实验目的1. 掌握8259的工作原理。

2. 掌握编写中断服务程序的方法。

3. 掌握初始化中断向量的方法。

二、实验内容用单脉冲发生器的输出脉冲为中断源,每按一次产生一次中断申请,点亮或熄灭发光二极管。

三、实验设备微机实验教学系统实验箱、8086CPU模块四、连线①单脉冲发生器输出P+与8259的IR0相连②8259的片选CS8259与CS0相连③8259的INT与8086的INT相连④8259的INTA与8086的INTA相连⑤CS273与CS1相连⑥00与LED1相连其它线均已连好如下图:五、实验步骤(1)连线。

(2)编辑程序,编译链接后,调试程序。

(3)调试通过后,在中断服务程序内设置断点,运行程序,当接收到中断请求后,程序停在中断服务程序内的断点处。

(4)撰写实验报告。

六、实验源程序CODE SEGMENT PUBLICASSUME CS:CODEORG 100HSTART: MOV DX,4A0H ;写ICW1MOV AX,13HOUT DX,AXMOV DX,4A2H ;写ICW2MOV AX,80H ;IR0的中断向量码为80HOUT DX,AXMOV AX,01OUT DX,AX ;一般嵌套,非缓冲,非自动EOIMOV AX,0 ;写OCW1OUT DX,AX ;允许中断;中断向量存放在(0000H:0200H)开始的四个单元里MOV AX,0MOV DS,AXMOV SI,200H ;中断类型号为80HMOV AX,OFFSET HINT ;中断服务程序的入口地址MOV DS:[SI],AXADD SI,2MOV AX,CSMOV DS:[SI],AXSTI ;开中断,设置IF=1JMP $ ;原地跳转HINT: ;中断服务程序XOR CX,0FFH ;CX取反MOV DX,4B0H ;CS273接口的地址,与8个LED灯相连MOV AX,CX ;输出高低电平控制LED灯的亮灭OUT DX,AXMOV DX,4A0H ;OCW2的地址MOV AX,20H ;一般EOI命令,全嵌套方式OUT DX,AXIRET ;中断返回CODE ENDSEND START七、实验思考题1.将P+连线连接到IR1—IR7任意一个;重新编写程序。

北京理工大学计算机实验一报告表

北京理工大学计算机实验一报告表

北京理工大学计算机实验一报告表北京理工大学计算机实验一报告一、实验目的:1. 了解计算机实验室的硬件设备,熟悉计算机的组成和工作原理;2. 掌握计算机的基本操作和调试方法,熟悉计算机的操作系统和软件环境;3. 学习使用计算机进行数据输入、处理和输出。

二、实验内容:1. 硬件设备:了解计算机的主要硬件组成,包括中央处理器(CPU)、内存、硬盘、显卡等;2. 操作系统:熟悉计算机的操作系统,学习操作系统的基本功能和操作方法;3. 软件环境:了解计算机的软件环境,学习使用常用的办公软件和编程工具;4. 数据输入:学习使用键盘和鼠标进行数据输入,了解数据的输入格式和方式;5. 数据处理:学习使用计算机进行数据处理,包括数据的存储、计算和分析;6. 数据输出:学习使用计算机进行数据输出,包括打印、显示和保存等方式。

三、实验步骤:1. 硬件设备:了解计算机的主要硬件组成,包括CPU、内存、硬盘、显卡等,熟悉各个硬件的功能和作用;2. 操作系统:熟悉计算机的操作系统,学习操作系统的基本功能和操作方法,包括开机、关机、重启等;3. 软件环境:了解计算机的软件环境,学习使用常用的办公软件和编程工具,如Microsoft Office和Visual Studio等;4. 数据输入:学习使用键盘和鼠标进行数据输入,了解数据的输入格式和方式,如文本、数字、图像等;5. 数据处理:学习使用计算机进行数据处理,包括数据的存储、计算和分析,如使用Excel进行数据分析;6. 数据输出:学习使用计算机进行数据输出,包括打印、显示和保存等方式,如使用打印机打印数据报告。

四、实验结果:本次实验我们成功地熟悉了计算机的硬件设备和软件环境,掌握了计算机的基本操作和调试方法。

我们学会了使用键盘和鼠标进行数据输入,使用计算机进行数据处理和输出。

通过实验,我们进一步了解了计算机的组成和工作原理,对计算机的操作系统和软件环境有了更深入的了解。

北京理工大学单片机实验报告

北京理工大学单片机实验报告

北京理工大学实验选修课程《单片机原理与应用》课程实验报告姓名:学号:**********专业:信息工程班级:05111003实验一 P1口基本实验一、实验目的1.掌握如何建立项目,并用Keil C51软件调试程序。

二、实验内容1. P1口基本实验P1口做输出口,接八只发光二极管(如图1-1),编写程序,使发光二极管从左到右依次单个点亮,再从右至左依次单个点亮,以此循环。

各发光二极管的阳极通过保护电阻接到+5V 的电源上,阴极接到接线端口上,因此使其点亮应使相应接线端口为低电平。

三、实验原理1. P1口实验原理P1口为准双向口,P1口的每一位都能独立地定义为输入位或输出位。

作为输入位时,必须向锁存器相应位写入“1”。

2. 延时原理及计算方法常用的延时程序有两种方法,一种是用定时器中断来实现,另一种是用指令循环来实现。

用C 语言编写的延时子程序,计算延时时间时需转化为汇编语言。

针对不同的编译环境,C51编译后生成的代码不同。

针对本实验系统,若延时时间大于255ms,用C51实现可大致写出如下:unsigned int i;unsigned char j;while(i--){ for(j=0;j<60;j++){;}}若延时时间不大于255ms ,可将循环变量(i,j )定义为unsigned char ,并将内循环体中参数改为75。

四、实验代码#include<reg51.h>unsigned char L; /*L 传递亮灭的数值*/或 unsigned int i, ms; unsigned char j; for(i=0;i<ms;i++) { for(j=0;j<60;j++) {;} }delay(unsigned int ms) /*延时子程序*/{unsigned char j;unsigned int i;for(i=0;i<ms;i++){for(j=0;j<60;j++) /*内循环空操作*/{;}}}void display() /*显示输出子程序*/{P1=~L; /*LED共阳极,L取反输出*/delay(1000); /*延时1秒*/}main(){unsigned char i;L=0xFF; /*全亮*/display();L=0x00; /*全灭*/display();while(1) /*进入死循环,重复执行程序*/{L=0x01; /*LED1亮*/display();for(i=0;i<8;i++) /*LED右移8次点亮*/{L=L<<1; /*L左移1位*/display();}L=0x80; /*LED8亮*/display();for(i=0;i<8;i++) /*LED左移8次点亮*/{L=L>>1; /*L右移1位*/display();}}}五、实验心得通过这次实验我们熟悉了专用的调试环境,并练习了编写代码、编译链接、烧写程序、调试运行等一系列的实验步骤,对C51单片机有了一个初步的直观认识。

北京理工大学ZHZH

北京理工大学ZHZH

北京理工大学微机原理与接口技术实验报告实验内容:微机原理综合设计组别:姓名:班级:学号:一、实验目的1.利用所学知识设计简单计算器。

二、实验内容利用8255控制试验箱上的键盘,实现2位十进制数以内的加减乘除运算,将运算结果通过8250发送到上位机。

键盘为10个数字键0-9,六个功能键 +、-、*、/、=、复位。

三、实验方法1.设计思路⑴.根据题目要求首先应该实现试验箱上的键盘扫描功能,计算按下键码的键值(键值检测中应该加入相应的延时程序以达到精确扫描的目的)。

再进行加减乘除算数运算,通过8250芯片发送到上位机,利用串口小助手实现算数。

2.实验程序流程图。

四、实验源程序(必要的文字注释)初始化按键闭合? 开始按键扫描保存键值 进行算数运算按键恢复? 输出结果结束NN Y Y1.简单计算器程序。

ASSUME CS:CODECODE SEGMENTORG 100HSTART: MOV DX,04A6H ;控制寄存器地址MOV AX,90H ;设置为A口输入,;B口输出,C输出OUT DX,AX ;8255初始化MOV AX,0MOV DS,AXAAA: MOV DI,2000HMOV CX,10CCC1: MOV DS:[DI],0INC DILOOP CCC1MOV DI,2000HMOV DX,486HMOV AX,80HOUT DX,AXMOV DX,480HMOV AX,12OUT DX,AXMOV DX,482HMOV AX,0OUT DX,AXMOV DX,486HMOV AX,7OUT DX,AXMOV DX,482HMOV AX,0OUT DX,AXMOV DX,48AHIN AX,DXMOV DX,480HIN AX,DXCHECK0: ;CHECK0检测是否有健被按下MOV AX,OOH ;C口的PC0、PC1、PC2作为行选择线MOV DX,04A4H ;C口地址OUT DX,AX; 写入C口内容00H,即令所有行为低电平MOV DX,04A0H ;A口地址IN AX,DX ;读入A口的内容CMP AL,OFFH ;判定是否有列线为低电平JE CHECK0 ;没有,无闭合键,则循环等待MOV CX,05FFH ;延迟常数,可以修改来改变延时时间DELAY:LOOP DELAY ;有,则延迟清除抖动,当cx=0时则停止该循环行扫描键盘和列扫描键盘,确定被按键的行值和列值MOV CL,3 ;行数MOV AH,0MOV AL,0FBH ; 0fbh=1111 1011BCONTIN: PUSH AL ;将ax的内容(0fbh)入栈保存MOV DX,04A4H ;C口地址OUT DX,AL ;写入C口内容0fbh=1111 1011B,即将第三行置为低电平MOV DX,04A0H ;A口地址IN AL,DX ;读入A口的内容MOV AH,AL ;将A口的内容送入ahCMP GH,0FFH ;判断是否有列线为低电平JNE NEXT ;比较结果不等于0则转移,即有列线为低电平POP AX ; 比较结果等于0,即没有列线为低电平,(ax)=00fbhROR AL,1 ; fbh(1111 1011B)循环右移,(al)=1111 1101检测下一行LOOP CONTIN ;循环扫描下一行,确定行JMP CHECK0 ;若所有行都没有被按下,则返回check0重新检测NEXT: MOV CH,CL ;保存行值至chMOV CL,7 ;列值从0开始编号0-7BEGIN0: SHL AH,1 ; ah为A口的内容,逻辑左移1位,末位补0 JNC GOON ;无进位则转移,即可确定列LOOP BEGIN0 ;继续循环,确定列JMP CHECK0GOON: ;计算显示码在discode中的位置:(行数-1)*8 +列值MOV BL,CL ;保存列值至BLDEC CH ;行数减1MOV CL,3SHL CH,CL ;左移三位即相当于减1之后的行数*8ADD DL,CH ;确定显示码在discode表中的偏移量,即(行数-1)*8+列值MOV BH,0MOV CX,BX ;显示码在discode表中的偏移量送CXDISPLAY: ;显示输出MOV SI, OFFSET DISCODEADD SI,CX ;显示码偏移地址MOV DX,040AH ;C口地址MOV AL,0FHOUT DX,AL ;写入C口内容,使位控(LED1)有效MOV AL,CS:[SI] ; 取被按键的显示字形码送入al MOV DX,04A2H;B口地址OUT DX,AL ; 将显示字形码送B口输出显示NOPNOPCMP AL,3fhJNZ L1MOV AH,0JMP NEXT1L1: ;定义键码子程序L1CMP AL,06hJNZ L2MOV AH,1JMP NEXT1L2: ;定义键码子程序L2CMP AL,5bhJNZ L3MOV AH,2JMP NEXT1L3: ;定义键码子程序L3CMP AL,4fhJNZ L4MOV AH,3JMP NEXT1L4: ;定义键码子程序L4CMP AL,66hJNZ L5MOV AH,4JMP NEXT1L5: ;定义键码子程序L5CMP AL,6dhJNZ L6MOV AH,5JMP NEXT1L6: ;定义键码子程序L6CMP AL,7dhJNZ L7MOV AH,6JMP NEXT1L7:CMP AL,07hJNZ L8MOV AH,7JMP NEXT1L8: ;定义键码子程序L8 CMP AL,7fhJNZ L9JMP NEXT1L9:CMP AL,6fh ;AL与6FH比较JNZ L10 ;若ZF=0则存入L10中MOV AH,9JMP NEXT1L10:CMP AL,77hJNZ L11MOV AH,10JMP NEXT1L11:CMP AL,7chJNZ L12MOV AH,11JMP NEXT1L12:CMP AL,39hJNZ L13MOV AH,12JMP NEXT1L13:CMP AL,5ehJNZ L14MOV AH,13JMP NEXT1L14:CMP AL,79hJNZ L15JMP NEXT1L15:CMP AL,71hJMP AAANEXT1:MOV DS:[DI],AHINC DICMP DI,2004HCALL DELAY1JE ACLTJMP CHECK0ACLT:MOV AX,0MOV DX,0MOV DI,2000H ;将2000H送入DIMOV AL,DS:[DI] ;将DS:[DI]的地址送入AL MOV DL,DS:[DI+2]MOV BL,DS:[DI+1]CMP BL,10JNZ K1ADD AL,DLPUSH AX ;将AX压栈JMP LOOP1 ;转移到LOOP1地址K1:CMP BL,11JNZ K2SUB AL,DLPUSH AXJMP LOOP1K2:CMP BL,12JNZ K3MUL DLPUSH AXJMP LOOP1K3:CMP BL,13JNZ LOOP1DIV DLPUSH AXJMP LOOP1PPP:LOOP1:CALL DELAY1CALL SENDJMP KKKDELAY1: ;延时子程序MOV CX,0FFFFHLLL:LOOP LLLRETSEND:PUSH AXMOV DX,48AHSEND1:IN AX,DXJNZ SEND2JMP SEND1SEND2:POP AXMOV DX,480HOUT DX,ALRETKKK:JMP CHECK0DISCODE DB 3fh,06h,5bh,4fh,66h,6dh,7dh,07h;(0-7);定义各键码DB 7fh,6fh,77h,7ch,39h,5eh,79h,71h ;(8-F)DB 01h,02h,04h,08h,10h,20h,40h,80h;(abcdefgh);显示字形码表CODE ENDS ;代码段结束END START ;程序段结束五.实验结果简单计算器1位十进制实验结果截图。

北理工微机原理与接口技术之8255,8253实验报告

北理工微机原理与接口技术之8255,8253实验报告

北理工微机原理与接口技术之8255,8253实验报告微机原理与接口技术实验报告———8253可编程定时器8255并行接口实验实验一8255并行接口实验一,实验内容8255的A口作为输入口,与逻辑电平开关相连。

8255的B口作为输出口,与发光二极管相连。

编写程序,使得逻辑电平开关的变化在发光二极管上显示出来。

二,实验目的(1)掌握8255的工作原理。

(2)掌握编写8255并行接口初始化及编程实现的方法。

三,实验仪器微机实验教学系统实验箱、8086CPU模块四,实验步骤(1)连线8255的PA0—PA7分别与逻辑电平开关的K1—K8相连?PB0—PB7分别与发光二极管电路的LED1—LED8相连?CS0与8255的片选CS8255相连其它线路均已连好具体如图所示:(2)编辑程序,编译链接后,单步运行,调试程序。

(3)调试通过后,全速运行,观察实验结果。

(4)撰写实验报告。

五,实验源程序如下CODE SEGMENT PUBLICASSUME CS:CODEORG 100HSTART:MOV DX,04A6HMOV AX,90H ;写8255的控制字,A组工作在方式0,A口输入,C口高4位输出,B组工作在方式0,B口及C口的低4位均工作在输出OUT DX,AXSTART1:MOV DX,04A0HIN AX,DX ;读取A口数据MOV DX,04A2HOUT DX,AX ;将从A口读取的数据从B口输出,控制LED灯JMP START1CODE ENDSEND START六,实验现象LED灯低电平有效。

当某一开关拨到低电平时,对应的LED灯点亮。

当某一开关拨到高电平时,对应的LED灯熄灭七,思考题1.将片选线接到CS1—CS7;重新编写程序。

CS0对应地址是04A0---O4AF, CS1对应地址是04B0---O4BF.现将片选线接到CS1,重新编程:CODE SEGMENT PUBLICASSUME CS:CODEORG 100HSTART:MOV DX,04B6H ;CS1对应的地址MOV AX,90HOUT DX,AXSTART1:MOV DX,04B0HIN AX,DXMOV DX,04B2HOUT DX,AXJMP START1CODE ENDSEND START实验现象:如同片选线接到CS02.交换A B接线,A口输出、B口输入;重新编写程序。

北京理工大学-微机原理综合设计实验-数字电压表A

北京理工大学-微机原理综合设计实验-数字电压表A

北京理工大学-微机原理综合设计实验-数字电压表A————————————————————————————————作者:————————————————————————————————日期:微机原理与接口技术实验报告实验内容:微机原理综合设计实验数字电压表A组别:31姓名:12届黄大师班级:xxxxxxxxx 学号:xxxxxxxxxx一、实验目的1、掌握8255的使用及编程原理。

2、掌握8253定时/计数器的编程原理。

3、掌握ADC0809的使用方法。

4、掌握共阴极七段数码管的工作原理。

5、加强多芯片的综合运用。

6、加强汇编语言程序设计。

二、实验内容利用ADC0809采集电位器的电压值,将采集的电压值通过8255显示在七段数码管上,显示数据保留小数点后2位,利用8253定时数据更新,每隔0.5秒数据更新一次。

扩展要求:具有设置报警阈值功能,当超过阈值时,点亮一个LED灯。

三、实验方法1、设计思路先利用ADC0809采集电位器的电压值,此时的电压值在AL中,但其真正的值应该为5/255*AL伏。

根据要求保留小数点后2位,利用程序先将AL乘以500/255,约为196,即将电压值扩大100倍,先除以100,得到最高位,再依次除以10,得到小数点后第一,二位,分别存在连续的三个存储单元中。

定义0-9共阴极七段数码管的显示码数据段,再通过8255 依次选通3个数码管,通过8255依次送入刚才所得的三个值的显示码。

利用8253工作在方式二,每隔0.5秒产生一低脉冲送到8255,利用程序检验,每隔0.5秒才调用电压采集程序。

对于扩展要求可利用74LS273实现。

实验简单主要原理图如下:将可变电位器AN0与ADC0809的ADIN0相连,将CS2与ADC0809的片选CS0809端相连,将ADC0809的EOC 与8255的PC0相连,CS0与8253的片选CS8253相连,CLK3(750KHz )与8253CLK0相连,8253的OUT0与8253CLK2相连,OUT2与8255的PA0相连,CS1与8255的片选CS8255相连,PC4-PC6与LED1-LED3依次相连,PB0-PB7依次与LED-A —LED-DP 相连。

北理工微波实验报告

北理工微波实验报告

北理工微波实验报告1. 引言微波技术是当今通信领域中非常重要的一项技术。

微波在通信、雷达、卫星导航等方面都有广泛应用。

本实验旨在通过实际操作,熟悉微波实验仪器的使用和微波实验的基本原理。

2. 实验目的- 了解微波实验仪器的组成和基本原理- 掌握微波实验仪器的操作方法- 学习微波实验中的重要参数的测量方法3. 实验装置和仪器本实验使用的实验装置和仪器主要包括:- 微波信号源- 微波导管- 微波频率计- 微波功率计- 微波衰减器- 波导短路器和电阻负载4. 实验步骤4.1 测量微波信号源频率稳定度使用微波频率计测量微波信号源输出频率,并记录。

4.2 测量不同功率时微波信号源输出频率固定微波信号源的频率,调整微波功率计上的衰减器,测量不同功率下的微波信号源输出频率。

4.3 测量不同频率时微波信号源输出功率固定微波功率,调节微波信号源频率,使用微波功率计测量不同频率下微波信号源的输出功率。

4.4 测量微波信号源的调制深度将调制信号接入微波信号源的调制输入端口,调整调制信号的幅度,并观察微波信号源的输出功率变化。

通过测量最大输出功率和最小输出功率的差值,计算调制深度。

4.5 测量微波信号源的谐波水平将微波信号源的输出信号接入频谱分析仪,测量不同谐波的振幅,并根据测量结果分析微波信号源的谐波水平。

5. 数据处理与分析5.1 微波信号源的频率稳定度根据频率计测量结果计算微波信号源的频率稳定度,并与厂家提供的规格进行比较。

5.2 微波信号源的调制深度根据测量结果计算微波信号源的调制深度,并与厂家提供的规格进行比较。

5.3 微波信号源的谐波水平根据频谱分析仪测量结果分析微波信号源的谐波水平,并与厂家提供的规格进行比较。

6. 结论通过本实验,我们对微波实验仪器的使用和微波实验的基本原理有了更深入的了解。

我们掌握了微波信号源频率稳定度、功率调制深度和谐波水平的测量方法,并通过数据处理与分析,了解了微波信号源的性能。

实验结果与厂家提供的规格相符,说明我们的测量结果是可靠的。

北理(bit)微机原理上机软件实验报告

北理(bit)微机原理上机软件实验报告

微机原理软件编程实验报告班级:学号:姓名:一、实验题目1、IDE86集成开发环境的学习和运用2、编写程序求出10个数中的最大值和最小值,并以单步形式观察如何求出最大值、最小值。

3、求1到100 的累加和,并用十进制形式将结果显示在屏幕上。

要求实现数据显示,并返回DOS状态。

4、将存储器中的十个字节型十六进制有符号数按从小到大的顺序排序并按十六进制显示出来。

(56H、0ffH、78H、82H、12H、0、95H、58H、0bcH、34H)二、实验目的1、学习并掌握IDE86集成开发环境的使用包括编辑、编译、链接、调试与运行等步骤编辑:源程序的输入、修改。

编译:常见编译出现的语法错误的修改。

连接:形成可执行文件。

运行:运行、断点设置、单步运行、单步跟踪;观察寄存器、标志寄存器值;观察数据存储器中的数据。

2、完成所有题目,提高编程能力,加深对理论课的理解。

三、实验内容1、文字叙述设计思路1.1编写程序求出10个数中的最大值和最小值由于一共有十个数,所以求其最大数或者最小数需要比较9次。

从数据段的段首开取数,先取两个数进行比较,比较完后取其中的最大数或者最小数放入数据段的第二位中。

再取第三位数与第二位数比较,如此循环,比较9次后在数据段最末位的就是我们需要的数。

1.2求1到100 的累加和,并用十进制形式将结果显示在屏幕上求和部分:1~100一共有100个数,所以需要做100次加法。

做加法要有两个数,第一个数是第i位的数值,第二个数是i-1位以及之前所有数的和,所以这两个数必须分别存放在两个寄存器里,并且两个数相加之后的所得的值,必须放在存放“和”的寄存器中,另外一个寄存器每做完一次加法自加1。

显示部分:我们所求得的最终数值在内存中是以16进制数存放的,但是由于结果只是一个数,我们可以把它的每一位所对应的ASCII码按照一定的顺序存放到一个数据段中,再以字符串形式直接输出。

1.3将存储器中的十个字节型十六进制有符号数按从小到大的顺序排序并按十六进制显示出来比较部分:题目一共给了我们10个数,如果要进行排序的话可以先把十个数中最大的数选出来,放到数据段的最后一个位置,接着再选出次大的数放到数据段的倒数第二位,如此循环到最后便可成功将所给的十个数排序好。

北京理工大学微机原理实验报告

北京理工大学微机原理实验报告

微机原理与接口技术实验报告实验内容:汇编语言程序设计实验组别:12姓名:班级:学号:一、实验目的1、熟悉IDE86集成开发环境的使用。

2、通过编程、上机调试,进一步理解汇编语言的设计思路与执行过程。

3、熟悉DOS命令调用,以达到输入输出、返回DOS系统等目的。

4、掌握利用汇编实现求和与求最值的方法。

5、掌握利用汇编实现数制转换的方法。

6、巩固理论知识,锻炼动手编程,独立思考的能力。

二、实验内容(具体内容)1、求从TABLE开始的10个无符号字节数的和,并将结果放在SUM字单元中。

并查看前5个,前8个数之和以及各寄存器和内存的状态。

2、在1的基础上修改程序,求出10个数中的最大值和最小值,最后将最大最小值分别赋给MAX及MIN。

3、求1到 100 的累加和,并用十进制形式将结果显示在屏幕上。

要求实现数据显示,并返回DOS状态。

三、实验方法1、设计思路(1)实验1的设计思路:先将10个要相加的数存在以TABLE为首的10个连续的存储单元中,然后利用循环结构依次取出数值放在AL中并累加,若有进位则加到AH中直至循环10次累加结束,将累加的结果放在SUM中并返回DOS状态。

(2)实验2的设计思路:先将10个要比较的数放在以TABLE为首的10个连续的存储单元中。

将第一个数首先赋给AL和AH(分别存储相对最小和最大值)在利用LOOP循环结构,依次和下面的数进行比较,每次把相对的最大值与最小值存储到AH和AL中直至循环9次比较结束,将AH和AL里面的最大值与最小值赋给MAX和MIN,返回DOS状态(3)实验3的设计思路:先在内存中定义COUNT=100,表示1-100求和,若相求1-n的和并显示只需COUNT的值为n即可,同时定义一块以DNUM为首地址的数据区用于存储累加和的十进制数对应的ASCII码。

先利用AX和LOOP求出1-COUNT的累加和存在AX中;在进行数值转化,AX 依次除10取余数保存,将16进制数转化为10进制ASCII码值并存在DUNM中。

北京理工大学 实验一 实验报告表

北京理工大学 实验一 实验报告表

实验一实验报告表
实验名称:图灵机模型与计算机硬件系统虚拟拆装实验
学号2015216898 姓名唐玮班级:计算机15-4班实验时间:2015年10月27日
实验报告表1-1图灵机模型中的主要组成部分及作用
说明:可根据需要加行
实验报表1-2冯.诺依曼计算机体系结构的功能描述
实验报告表1-3 实验所使用的计算机硬件配置登记表
实验报告表1-4 微型计算机拆卸顺序记录表
实验报告表1-5 微型计算机安装顺序记录表
实验报告表1-6 微型计算机安装顺序调整记录表
说明:可根据需要加行
实验报告表1-7 6个指定部件的安装顺序记录表
实验报告表1-8 扩充内存
实验报告表1-9更换显卡。

微机实验一到八答案

微机实验一到八答案

2013——2014学年第二学期广东药学院实验报告实验课程名称:微型计算机技术及应用专业班级:电子信息工程12组号:第一组小组成员:谭浩敏(1205520131)陈润强(1205520106)刘赏源(1205520107)实验指导老师:何永玲(第一组)实验二汇编语言指令仿真组长:谭浩敏组员:刘赏源、陈润强实验目的1.学会用实验的方法验证理论,用理论来指导实验,进一步熟悉汇编语言开发环境。

2.深刻理解寻址方式的意义以及80X86指令的各种寻址方式。

3.深刻理解80X86各种指令的真正含义及功能。

4.掌握与数据有关的不同寻址方式。

5.继续熟悉实验操作软件的环境及使用方法。

实验内容及要求一、在emu8086中仿真简单指令1.仿真简单指令,请按要求写出程序代码及实验步骤。

2.将实验结果与理论结果进行比较,以便深入理解80X86指令的各种寻址方式。

3.实验过程中遇到的问题及解决办法。

4.写出心得体会及还未理解的知识点。

DS=1000H,SS=2000H,AX=1A2BH,BX=1200H,CX=339AH,BP=1200H,SP=1350H,SI=1354H,(11350H)=0A5H,(11351H)=3CH,(11352H)=0FFH,(11353H)=26H,(11354H)=52H,(11355H)=0E7H,(126ª4H)=9DH,(126ª5H)=16H,(21350H)=88H,(21351H)=51H.下列各指令都在此环境下执行,在下列各小题的空格中填入相应个指令的执行结果。

实验代码:MOV AX,1000HMOV DS,AX;不能直接给DS,SS赋值,只能通过通用寄存器MOV AX,2000HMOV SS,AXMOV AX,1A2BHMOV BX,1200HMOV CX,339AHMOV BP,1200HMOV SP,1350HMOV SI,1354HMOV 0150H[BX],0A5HMOV 0151H[BX],3CHMOV 0152H[BX],0FFHMOV 0153H[BX],26HMOV [SI],52HMOV 1H[SI],0E7HMOV [26A4H],9DHMOV [26A5H],16HMOV SS:[1350H],88HMOV SS:[1351H],51H;在上面的环境下,以下一行行的运行MOV AX,1352HMOV AX, [1352H]MOV 0150H [BX], CHMOV AX, 0150H[BP]POP AXADD [SI], CXSUB BH, 0150H [BX][SI]INC BYTE PTR 0152H [BX]INC WORD PTR 0150H [BX]SAR BYTE PTR 0150H [BX], 1SAL BYTE PTR 0150H [BX], 1实验结果:(1)MOV AX,1352HAX=1352H(2)MOV AX,[1352H]AX=26FFH(3)MOV 0150H[BX],CH(11350H)= 33H ,(11351H)=3CH(4)MOV AX,0150H[BP]AX=5188H(5)POP AXAX= 5188H ,SP= 1352H(6)ADD[SI],CX(11354H)= ECH ,(11355H)= 1AHSF= 0 ,ZF= 0 ,PF= 0 ,CF= 1 ,OF= 0(7)SUB BH,0150H[BX][SI]BH= 75H ,SF= 0 ,ZF= 0 ,PF= 0 ,CF= 1 ,OF= 0(8)INC BYTE PTR 0152H[BX](11352H)=00H ,(11353H)= 26H ,CF= 0 ,(9)INC WORD PTR 0150H[BX](11352H)= FFH ,11353H=26H ,CF=0(10)SAR BYTE PTR 0150H[BX],1(11350H)=D2H ,CF=1 ,OF=0(11)SAL BYTE PTR O150H[BX],1(11350H)=4AH ,CF=1 ,OF=1【思考题】指出下列指令中源操作数和目的操作数的寻址方式:(一定要注意分开写寻址方式)(1)MOV AX, 0AH (2)ADD [BX], DX(3)PUSH CS (4)POP DS(5)MUL BL (6)MOV DX,[1200H](7)MOVSB (8)SUB AX,5[BP+DI]解:(1)SRC为立即数寻址,DEST为寄存器寻址;(2)SRC为寄存器寻址,DEST为寄存器间接寻址;(3)SRC为寄存器寻址,DEST为隐含堆栈指针寄存器间接寻址;(4)SRC为隐含堆栈指针寄存器间接寻址,DEST为寄存器寻址;(5)SRC为寄存器寻址,DEST为寄存器寻址;(6)SRC为直接寻址,DEST为寄存器寻址;(7)SRC为寄存器间接寻址,DEST为寄存器间接寻址;(8)SRC为基址变址相对寻址,DEST为寄存器寻址;实验心得与体会:本次实验考察的是8086指令的寻址方式,我们组在实验课的时候准备不够充分,导致当堂实验效果不明显,不过我们在课后有一起讨论每条语句的意思,并且在听过老师课堂上的讲解后,逐步地理解了这个实验,希望在下次实验过程中做好更充分的准备,以便在实验的过程中达到好的效果。

北理工—VHDL实验报告2016

北理工—VHDL实验报告2016

本科实验报告实验名称:VHDL语言及集成电路设计实验实验一:带有异步复位端的D触发器一、实验目的(1)熟悉linux操作环境和modelsim软件环境(2)理解时序逻辑和组合逻辑电路的区别(3)理解并行语句和顺序语句(4)用VHDL语言编写一个带有异步复位端的D触发器及其测试文件二、实验原理(1)组合逻辑和时序逻辑组合逻辑电路当前输出的值仅取决于当前的输入,不需要触发器等具有存储能力的逻辑单元,仅仅使用组合逻辑门;时序逻辑电路的当前输出不仅取决于当前的输入,还与以前的输入有关,这类电路中包括寄存器等元件,也包括组合逻辑电路,寄存器通过一个反馈环和组合逻辑模块相连,触发器便是属于时序逻辑电路;(2)并行和顺序代码从本质上讲,VHDL代码是并发执行的。

只有PROCESS,FUNCTION或PROCEDURE 内的代码才是顺序执行的。

当它们作为一个整体时,与其他模块之间又是并发执行的。

以下是3个并发描述语句(stat1,stat2和stat3)的代码,会产生同样的电路结构。

stat1 stat3 stat1stat2 = stat2 = stat3 = 其他排列顺序stat3 stat1 stat2(3)并行语句——进程(PROCESS)1)语法结构:[进程名: ]PROCESS (敏感信号列表)[变量说明语句]…BEGIN…(顺序执行的代码)…END PROCESS [进程名];2) PROCESS 的特点多进程之间是并行执行的;进程结构内部的所有语句都是顺序执行的;进程中可访问结构体或实体中所定义的信号;进程的启动是由敏感信号列表所标明的信号来触发,也可以用WAIT语句等待一个触发条件的成立。

各进程之间的通信是由信号来传递的。

(4)带有异步复位端的D触发器三、实验代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY dff ISPORT(d,clk,rst:IN STD_LOGIC;q:OUT STD_LOGIC);END dff;定义entity dffARCHITECTURE behavior OF dff IS BEGINPROCESS(rst,clk)BEGINIF(rst='1') THENq<='0';如果复位信号有效,q为0ELSIF(clk'EVENT AND clk='1') THEN q<=d;上升沿触发且,q<=dEND IF;END PROCESS;结束进程end ARCHITECTURE behavior;测试文件:library IEEE;use ieee.std_logic_1164.all;entity dff_tb isend dff_tb;architecture tb_behavior of dff_tb is component dffport(d,rst,clk: in std_logic;q: out std_logic);end component;constant clk_period:time:=50 ns;signal d,clk,q,rst:std_logic;begindut:dff port map(d=>d,clk=>clk,rst=>rst,q=>q); clk_gen:process beginclk<='0';wait for clk_period/2; clk<='1';wait for clk_period/2; end process;d_gen:processbeginwait for 100 ns;d<='1';wait for 100 ns;d<='0';end process;rst_gen:processbeginrst<='1';wait for 150 ns;rst<='0';wait for 500 ns;rst<='1';wait for 150 ns; wait;end process;end tb_behavior;四、实验结果五、实验心得第一次使用此软件,略有陌生,耗费时间稍久,因为之前用过的quartusii9.0不必使用tb文件,所以第一次实验刚开始并没有明白tb文件的用途,上网查找资料之后才明白过来,不过好在程序简单,顺利完成实验。

北理工操作系统一CPU占用率操纵实验报告

北理工操作系统一CPU占用率操纵实验报告

实验一CPU占用率操纵班级:学号:姓名:实验一CPU占用率操纵一、实验目的1、通过编写和调试程序以加深对CPU调度的明白得;2、熟悉Windows任务治理器CPU信息的获取和利用方式;二、实验要求编写程序实现下面任意一题:占用率为一条直线,固定在50%;占用率为一条正弦曲线。

参考:1.在任务治理器的一个刷新周期内,CPU忙(执行应用程序)的时刻和刷新周期总时刻的比率,确实是CPU的占用率,也确实是说,任务治理器中显示的是每一个刷新周期内 CPU占用率的统计平均值。

2.单核环境下,空死循环会致使100%的CPU占有率。

三、实验环境硬件设备:个人运算机。

系统软件:windows操作系统,Visual C++编译环境。

四、实验原理通过观看任务治理器,它大约1s更新一次。

当CPU利用率为0时,System Idle Process占用了CPU的空闲时刻。

System Idle Process在CPU空闲的的时候,发出一个IDLE命令,使CPU挂起(临时停止工作),可有效的降低CPU内核的温度,无法终止。

在那个进程里显现的CPU占用数值并非是真正的占用而是表现的CPU的空闲率,也就说那个数值越大CPU的空闲率就越高,反之确实是CPU的占用率越高。

当系统中的进程或在等待用户输入,或在等待某些事件的发生(发出I/O请求等待I/O响应),或主动进入休眠状态(比如Sleep())。

在任务治理器的一个刷新周期内,CPU忙(执行应用程序)的时刻和刷新周期总时刻的比率,确实是CPU的占用率,也确实是说,任务治理器中显示的是每一个刷新周期内CPU占用率的统计平均值。

单核环境下,空死循环会致使100%的CPU占有率。

关于多核CPU来讲,同一个进程可能被CPU的任务分派器分派到不同的核心上执行,因此造成无法让任务治理器达到预想的成效。

其实打开任务治理器,能够看到多个CPU利用记录。

一、实现CPU占用率为一条直线,固定在50%。

北京理工大学 数字信号处理实验报告一

北京理工大学  数字信号处理实验报告一

数字信号处理实验报告姓名:徐娇专业:通信工程实验二利用DFT分析信号频谱一、实验目的1.加深对DFT原理的理解。

2.应用DFT分析信号的频谱。

3.深刻理解利用DFT分析信号频谱的原理,分析实现过程中出现的现象及解决方法。

二、实验设备与环境计算机、MATLAB软件环境。

三、实验基础理论1.DFT与DTFT的关系有限长序列的离散时间傅里叶变换X()在频率区间的N个等间隔分布点上的N个取样值可以有下式表示:由上式可知,序列x(n)的N点DFT,实际上就是x(n)序列的DTFT在N 个等间隔频率点上样本。

2.利用DFT求DTFT方法1:由恢复出的方法如下:——由上式可以得到:其中为内插函数方法2:实际在MATLAB 计算中,上述插值运算不见得是最好的办法。

由于DFT 是DTFT 的取样值,其相邻两个频率样本点的间距为2,所以如果我们增加数据的长度N ,使得到的DFT 谱线就更加精细,其包络就越接近DTFT 的结果,这样就可以利用DFT 计算DTFT 。

如果没有更多的数据,可以通过补零来增加数据长度。

3.利用DFT 分析连续信号的频谱采用计算机分析连续时间信号的频谱,第一步就是把连续信号离散化,这里需要进行两个操作:一是采样,二是截断。

对于连续时间非周期信号,按采样间隔T 进行采样,阶段长度M ,那么:对进行N 点频域采样,得到采用上述方法计算信号的频谱需要注意如下三个问题:(1)频谱混叠(2)栅栏效应和频谱分辨率 (3)频谱泄露4.用到的MATLAB 函数与代码实验中DFT 运算可采用MATLAB 中提供的函数fft 来实现,DTFT 可采用MATLAB 矩阵运算的方法进行计算,如下式所示:[][][][][]⎥⎥⎥⎥⎥⎦⎤⎢⎢⎢⎢⎢⎣⎡⋅⋅⋅⋅⋅⋅==Ω-Ω-Ω-=Ω-Ω∑N Njn jn jn N n n n nj nj e e e n x n x n x en x eX 211.,,,)(21 四、实验内容1.已知x(n)={2↑,-1,1,1},完成如下要求:(1)计算他的DTFT ,并画出[-π,π]区间的波形。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验1 基于并行接口的键盘控制
一、实验目的
1.利用8255并行接口芯片作为小键盘的接口电路,掌握它们之间的线路连接。

2.了解可编程并行接口8255的工作原理,掌握8255初始化程序设计的方法,学会用8255A-5实现并行输入/输出接口应用。

3.了解小键盘的工作原理,学会设计小键盘的软、硬件接口技术。

4. 了解程序查询方式的工作原理,学会用程序查询方式实现键盘控制算法。

二、实验内容与步骤
1、接线(参考):PA0~PA3 /8255 接行0 ~ 行3 /4x4键盘
PB0~PB3 /8255 接列0 ~ 列3 /4x4键盘
CS /8255 接 Y1 /IO地址
2、编程:实现在按下小键盘上的任意键后,在微机屏幕上显示相应字符。

它们的对应关系如下:
小键盘显示小键盘显示
0 —0 A — A
1 — 1 B — B
2 — 2 C — C
3 — 3 D — D
4 — 4 E — E
5 — 5 F — F
6 — 6
7 —7
8 —8
9 —9
三、实验原理
1.熟悉8255A-5芯片工作方式的设置
(1)基本控制字
基本控
制字的格式如图
下所示:
各位含意如下:
D7:设定工作方式标志,1有效。

D6、D5:A组方式选择
0 0--方式0
0 1--方式1
1X--方式2
D4:端口A功能(1=输入,0=输出)
D3:端口C高四位功能(1=输入,0=输出)
D2:B组方式选择(0=方式0,1=方式1)
D1:端口B功能(1=输入,0=输出)
D0:端口C低四位功能(1=输入,0=输出)
(2)端口C复位/复位控制字
端口
C复位/
复位控制
字如下图所示:
各位含意如下:
D7:位置0/位置1标志,0有效。

D6、D5 、D4:不用,可任意值。

D3、D2 、D1:端口C的每位选择,由111--000分别表示第7位--第0位。

D0:位置1/置0,1=置1,0=置0。

2.本实验小键盘做在一块扩展电路板上,用一根20芯扁平电缆线将实验板扩展插头
J1与实验台上的扩展插头J7相连,为扩展电路板连接电源及外部总线。

键盘阵列由8255芯片控制, 并将KA0-KA7,KB0-KB2连接到8255芯片A口,C口,
还需要连接8255芯片的片选288H~28FH,以使键盘阵列由8255芯片控制;
8255A-5与小键盘接口线路如图4-1所示:

4-1小键盘接口电路
3.编写程序,按下小键盘上的任意键后,在微机屏幕上(或小键盘的数码管上)显示相应字符。

四、
程序
流程
图设计
五、程序代码及注释
DATAS SEGMENT ;数据段
KEYTAB0 DB 'F', 'E', 'D', 'C'
KEYTAB1 DB 'B', 'A', '9', '8'
KEYTAB2 DB '7', '6', '5', '4'
KEYTAB3 DB '3', '2', '1', '0'
SCAN_CODE DB 0111B,1011B,1101B,1110B
PORT EQU 288H ;280H不能用,故换成288H BUF0 DB 0DH,0AH,24H ;回车换行
DISP DB 'Bin: please press a Key$' ;提示信息
DATAS ENDS
STACKS SEGMENT ;堆栈段
STA DB 256 DUP(?)
TOP EQU SIZE STA
STACKS ENDS
CODES SEGMENT
ASSUME CS:CODES,DS:DATAS,SS:STACKS
MAIN PROC FAR ;主函数
MOV AX,DATAS
MOV DS,AX
MOV AX,STACKS
MOV SS,AX
MOV SP,TOP ;建立堆栈指针
LEA DX,DISP
MOV AH,9 ;显示提示信息
INT 21H
LEA DX,BUF0
MOV AH,9 ;回车换行
INT 21H
;初始化8255
MOV DX,PORT+3 ;方式控制选择字
MOV AL,10000010B ;方式0,A口输出,B口输入
OUT DX,AL
BEGIN_SCAN:
MOV AL,0
MOV DX,PORT+0 ;A口
OUT DX,AL ;输出全低
INC DX ;PORT+1,B口
IN AL,DX ;B口读入
AND AL,0FH ;屏蔽高四位数据
CMP AL,0FH ;比较,如果有键按下,AL低四位一定不是1111
JE BEGIN_SCAN ;返回开始,循环扫描
;若有按键按下,按列查找哪个键
MOV AL,00001110B;PA0=0
MOV DX,PORT+0
OUT DX,AL ;A口1110输出
MOV DX,PORT+1
IN AL,DX ;B口读入
LEA BX,KEYTAB0 ;把KEYTAB0的有效地址存入BX,后面用的时候再赋给DI
CMP AL,0FH ;比较,如果有键按下,AL低四位一定不是1111
JNE DISPLAY ;如果有键按下,就显示
MOV AL,00001101B ;PA1=0
MOV DX,PORT+0
OUT DX,AL ;输出
MOV DX,PORT+1
IN AL,DX ;B
LEA BX,KEYTAB1
CMP AL,0FH
JNE DISPLAY
MOV AL,00001011B ;PA2=0
MOV DX,PORT+0
OUT DX,AL ;输出
MOV DX,PORT+1
IN AL,DX ;B
LEA BX,KEYTAB2
CMP AL,0FH
JNE DISPLAY
MOV AL,00000111B ;PA3=0
MOV DX,PORT+0
OUT DX,AL ;输出
MOV DX,PORT+1
IN AL,DX ;B
LEA BX,KEYTAB3
CMP AL,0FH
JNE DISPLAY
DISPLAY: ;显示
MOV DI,BX
LEA SI,SCAN_CODE
;键盘扫描码表
LO_SCAN:
CMP AL,[SI]
JE PRINT ;若相等则打印输出
INC SI
INC DI ;DI+1下一个数字
JMP LO_SCAN
PRINT:
MOV AH,2 ;打印输出
MOV DL,[DI]
INT 21H
CMP DL,'E'
JE END_SCAN ;如果按下E键盘,则退出
CALL DELAY ;延时
JMP BEGIN_SCAN
;重新开始查询
END_SCAN:
MOV AH,4CH ;结束
INT 21H
MAIN ENDP
DELAY PROC NEAR ;延时函数
PUSH DI
PUSH CX ;保护现场
MOV CX,0FH
MOV DI,0
LO: ;延时循环 15*65536次
NOP
DEC DI
JNZ LO
DEC CX
JNZ LO
POP CX ;恢复现场
POP DI
DELAY ENDP
CODES ENDS
六、心得体会
通过本次实验,我们对8255芯片进行编程设计,从而实现了并行接口的键盘控制。

在编程过程中,利用按列查找的方式查找输入的线路,从而实现对键盘输入表中实现相对应键盘字母的输入。

程序执行后,输出提示信息——“please press a Key”。

设置控制字为A组输出,B组输入,然后实现按列查找的方式,然后对每行均存在输入的时候对每一列进行查找,判断是否存在输入。

若存在输入我们将判断具体哪列存在输入。

当A,B组存在输入时,相应电路电位为低电平,利用CMP语句我们可以查找出具体哪条电路存在输入。

判断出具体位置后在键盘行列表中找到相应位置,并显示。

如果按下的按键为“E”则退出按键检测。

在实验中,遇到了一些问题,首先是试验箱连线的问题,由于实验箱上的Y0也就是280H 那个插孔插进去没反应,所以我们改将CS接到288H,并修改相应的代码;加上很久未用汇编编程,在写汇编程序的时候犯了一个小错误。

后来通过分析改正了编程的错误,并按照讲义上的对应关系编码,成功的完成了实验。

通过此次实验,我对汇编语言进行了复习并且对8255芯片原理的进一步巩固,通过软硬件结合,提高了学以致用的能力,对我们将理论应用到实际有了很大的帮助。

感谢同组的刘树峥同学和郭尧同学的合作和帮助;感谢张笈老师微机原理与接口技术课理论课程的讲解;感谢高平老师的实验讲解以及在实验过程中给予的帮助和指导。

相关文档
最新文档