VHDL语言设计数字系统的外文翻译
数字示波器外文翻译文献
数字示波器外文翻译文献(文档含中英文对照即英文原文和中文翻译)原文:Design and FPGA implementation of a wireless hyperchaotic communication system for secure real-time image transmission AbstractIn this paper, we propose and demonstrate experimentally a new wireless digital encryption hyperchaotic communication system based on radio frequency (RF) communication protocols for secure real-time data or image transmission. A reconfigurable hardware architecture is developed to ensure the interconnection between two field programmable gate array developmentplatforms through XBee RF modules. To ensure the synchronization and encryption of data between the transmitter and the receiver, a feedback masking hyperchaotic synchronization technique based on a dynamic feedback modulation has been implemented to digitally synchronize the encrypter hyperchaotic systems. The obtained experimental results show the relevance of the idea of combining XBee (Zigbee or Wireless Fidelity) protocol, known for its high noise immunity, to secure hyperchaotic communications. In fact, we have recovered the information data or image correctly after real-time encrypted data or image transmission tests at a maximum distance (indoor range) of more than 30 m and with maximum digital modulation rate of 625,000 baud allowing a wireless encrypted video transmission rate of 25 images per second with a spatial resolution of 128 ×128 pixels. The obtained performance of the communication system is suitable for secure data or image transmissions in wireless sensor networks.IntroductionOver the past decades, the confidentiality of multimedia communications such as audio, images, and video has become increasingly important since communications of digital products over the network (wired/wireless) occur more frequently. Therefore, the need for secure data and transmission is increasing dramatically and defined by the required levels of security depending on the purpose of communication. To meet these requirements, a wide variety of cryptographic algorithms have been proposed. In this context, the main challenge of stream cipher cryptography relates to the generation of long unpredictable key sequences. More precisely, the sequence has to be random, its period must be large, and the various patterns of a given length must be uniformly distributed over the sequence. Traditional ciphers like DES, 3DES, IDEA, RSA, or AES are less efficient for real-time secure multimedia data encryption systems and exhibit some drawbacks and weakness in the high streamdata encryption. Indeed, the increase and availability of a high-power computation machine allow a force brute attack against these ciphers. Moreover, for some applications which require a high-levelcomputation and where a large computational time and high computing power are needed (for example, encryption of large digital images), these cryptosystems suffer from low-level efficiency. Consequently, these encryption schemes are not suitable for many high-speed applications due to their slow speed in real-time processing and some other issues such as in the handling of various data formatting. Over the recent years, considerable researches have been taken to develop new chaotic or hyperchaotic systems and for their promising applications in real-time encryption and communication. In fact, it has been shown that chaotic systems are good candidates for designing cryptosystems with desired properties. The most prominent is sensitivity dependence on initial conditions and system parameters, and unpredictable trajectories.Furthermore, chaos-based and other dynamical systembased algorithms have many important properties such as the pseudorandom properties, ergodicity and nonperiodicity. These properties meet some requirements such as sensitivity to keys, diffusion, and mixing in the cryptographic context. Therefore, chaotic dynamics is expected to provide a fast and easy way for building superior performance cryptosystems, and the properties of chaotic maps such as sensitivity to initial conditions and random-like behavior have attracted the attention to develop data encryption algorithms suitable for secure multimedia communications. Until recently, chaotic communication has been a subject of major interest in the field of wireless communications. Many techniques based on chaos have been proposed such as additive chaos masking (ACM), where the analog message signal is added to the output of the chaos generator within the transmitter. In, chaos shift keying is used where the binary message signal selects the carrier signal from two or more different chaotic attractors. Authors use chaotic modulation where the message information modulates a parameter of the chaotic generator. Chaos control methods rely on the fact that small perturbations cause the symbolic dynamics of a chaotic system to track a prescribed symbol sequence. In, the receiver system is designed in an inverse manner to ensure the recovery of theencryption signal. An impulsive synchronization scheme is employed to synchronize chaotic transmitters and receivers. However, all of these techniques do not provide a real and practical solution to the challenging issue of chaotic communication which is based on extreme sensitivity of chaotic synchronization to both the additive channel noise and parameter mismatches. Precisely, since chaos is sensitive to small variations of its initial conditions and parameters, it is very difficult to synchronize two chaotic systems in a communication scheme. Some proposed synchronization techniques have improved the robustness to parameter mismatches as reported in, where impulsive chaotic synchronization and an open-loop-closed-loopbased coupling scheme are proposed, respectively. Other authors proposed to improve the robustness of chaotic synchronization to channel noise, where a coupled lattice instead of coupled single maps is used to decrease the master-slave synchronization error. In, symbolic dynamics-based noise reduction and coding are proposed. Some research into equalization algorithms for chaotic communication systems are also proposed. For other related results in the literature, see. However, none of them were tested through a real channel under real transmission conditions. Digital synchronization can overcome the failed attempts to realize experimentally a performed chaotic communication system. In particular, when techniques exhibit any difference between the master/transmitter and slave/receiver systems, it is due to additive information or noise channel (disturbed chaotic dynamics) which breaks the symmetry between the two systems, leading to an accurate non-recovery of the transmitted information signal at the receiver. In, an original solution to the hard problem of chaotic synchronization high sensibility to channel noise has been proposed. This solution, based on a controlled digital regenerated chaotic signal at the receiver, has been tested and validated experimentally in a real channel noise environment through a realized wireless digital chaotic communication system based on zonal intercommunication global-standard, where battery life was long, which was economical to deploy and which exhibited efficient use of resources, knownas the ZigBee protocol. However, this synchronization technique becomes sensible to high channel noise from a higher transmission rate of 115 kbps, limiting the use of the ZigBee and Wireless Fidelity (Wi-Fi) protocols which permit wireless transmissions up to 250 kbps and 65 Mbps, respectively.Consequently, no reliable commercial chaos-based communication system is used to date to the best of our knowledge. Therefore, there are still plentiful issues to be resolved before chaos-based systems can be put into practical use. To overcome these drawbacks, we propose in this paper a digital feedback hyperchaotic synchronization and suggest the use of advanced wireless communication technologies, characterized by high noise immunity, to exploit digital hyperchaotic modulation advantages for robust secure data transmissions. In this context, as results of the rapid growth of communication technologies, in terms of reliability and resistance to channel noise, an interesting communication protocol for wireless personal area networks (WPANs, i.e., ZigBee or ZigBee Pro Low-Rate-WPAN protocols) and wireless local area network (WLAN, i.e., Wi-Fi protocol WLAN) is developed. These protocols are identified by the IEEE 802.15.4 and IEEE 802.11 standards and known under the name ZigBee and Wi-Fi communication protocols, respectively. These protocols are designed to communicate data through hostile Radio Frequency (RF) environments and to provide an easy-to-use wireless data solution characterized by secure, low-power, and reliable wireless network architectures. These properties are very attractive for resolving the problems of chaotic communications especially the high noise immunity property. Hence, our idea is to associate chaotic communication with theWLAN or WPAN communication protocols. However, this association needs a numerical generation of the chaotic behavior since the XBee protocol is based on digital communications.In the hardware area, advanced modern digital signal processing devices, such as field programmable gate array (FPGA), have been widely used to generate numerically the chaotic dynamics or the encryption keys. The advantage of these techniques is that the parameter mismatch problem does not existcontrary to the analog techniques. In addition, they offer a large possible integration of chaotic systems in the most recent digital communication technologies such as the ZigBee communication protocol. In this paper, a wireless hyperchaotic communication system based on dynamic feedback modulation and RF XBee protocols is investigated and realized experimentally. The transmitter and the receiver are implemented separately on two Xilinx Virtex-II Pro circuits and connected with the XBee RF module based on the Wi-Fi or ZigBee protocols. To ensure and maintain this connection, we have developed a VHSIC (very high speed integrated circuit) hardware description language (VHDL)-based hardware architecture to adapt the implemented hyperchaotic generators, at the transmitter and receiver, to the XBee communication protocol. Note that the XBee modules interface to a host device through a logic-level asynchronous serial port. Through its serial port, the module can communicate with any logic and voltage-compatible Universal Asynchronous Receiver/Transmitter (UART). The used hyperchaotic generator is the well-known and the most investigated hyperchaotic Lorenz system. This hyperchaotic key generator is implemented on FPGA technology using an extension of the technique developed in for three-dimensional (3D) chaotic systems. This technique is optimal since it uses directly VHDL description of a numerical resolution method of continuous chaotic system models. A number of transmission tests are carried out for different distances between the transmitter and receiver. The real-time results obtained validate the proposed hardware architecture. Furthermore, it demonstrates the efficiency of the proposed solution consisting on the association of wireless protocols to hyperchaotic modulation in order to build a reliable digital encrypted data or image hyperchaotic communication system.Hyperchaotic synchronization and encryption techniqueContrary to a trigger-based slave/receiver chaotic synchronization by the transmitted chaotic masking signal, which limits the performance of the rate synchronization transmission, we propose a digital feedback hyperchaoticsynchronization (FHS). More precisely, we investigate a new scheme for the secured transmission of information based on master-slave synchronization of hyperchaotic systems, using unknown input observers. The proposed digital communication system is based on the FHS through a dynamic feedback modulation (DFM) technique between two Lorenz hyperchaotic generators. This technique is an extension and improvement of the one developed in for synchronizing two 3D continuous chaotic systems in the case of a wired connection.The proposed digital feedback communication scheme synchronizes the master/transmitter and the slave/receiver by the injection of the transmitted masking signal in the hyperchaotic dynamics of the slave/receiver. The basic idea of the FHS is to transmit a hyperchaotic drive signal S(t) after additive masking with a hyperchaotic signal x(t) of the master (transmitter) system (x , y , z ,w ). Hyperchaotic drive signal is then injected both in the three subsystems (y , z ,w ) and (r r r w z y ,,). The subscript r represents the slave or receiver system (r r r r w z y x ,,,). At the receiver, the slave system regenerates the chaotic signal )(t x r and a synchronization is obtained between two trajectories x(t) and )(t x r if()()0||lim =-∞→t X t X r t (1) This technique can be applied to chaotic modulation. In our case, it is used for generating hyperchaotic keys for stream cipher communications, where the synchronization between the encrypter and the decrypter is very important. Therefore, at the transmitter, the transmitted signal after the additive hyperchaos masking (digital modulation) isS(t) = x(t) + d(t). (2)where d(t) is the information signal and x(t) is the hyperchaotic carrier. At the receiver, after synchronization of the regenerated hyperchaotic signal )(t x rwith the received signal )(t S r and the demodulation operation, we can recover the information signal d(t) correctly as follows:)()()(t x t S t d r r -=. (3)Therefore, the slave/receiver will generate a hyperchaotic behavior identical to that of the master/transmitter allowing to recover correctly the information signal after the demodulation operation. The advantageof this technique is that the information signal d(t) doesnot perturb the hyperchaotic generator dynamics, contraryto the ACM-based techniques of and, because d(t) is injected at both the master/transmitter and slave/receiver after the additive hyperchaotic masking. Thus, for small values of information magnitude, the information will be recovered correctly. It should be noted that we have already confirmed this advantage by testing experimentally the HS-DFM technique performances for synchronizing hyperchaotic systems (four-dimensional (4D) continuous chaotic systems) in the case of wired connection between two Virtex-II Pro development platforms. After many experimental tests and from the obtained real-time results, we concluded that the HS-DFM is very suitable for wired digital chaotic communication systems. However, in the present work, one of the objectives is to test and study the performances of the HS-DFM technique in the presence of channel noise through real-time wireless communication tests. To performthe proposed approach, a digital implementation of the master and slave hyperchaotic systems is required. Therefore, we investigate the hardware implementation of the proposed FHS-DFM technique between two Lorenz hyperchaotic generators using FPGA. To achieve this objective, we propose the following details of the proposed architecture.译文:无线超混沌通信系统安全的实时图像传输的设计和FPGA实现摘要在本文中,我们提出并论证了一种基于无线电频率通信协议对数据或图像安全实时传输的新的无线数字超混沌加密通信系统。
双语课件(第12章) 12. Describe sequential systems in VHDL 《数字设计基础(双语教学版)》Barry Wilk
ELSIF ( rising_edge(clock) ) THEN q <= d;
END IF; END PROCESS; END ARCHITECTURE asynch_reset;
9
12.2 Register Transfer Level Coding
5
12.1 Defining clocks, flip-flops & registers
ENTITY definition
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
-- D-type flip-flop ENTITY dff IS
PORT ( d : IN STD_LOGIC; -- Data input clock: IN STD_LOGIC; -- Clock input reset: IN STD_LOGIC; -- Reset input Q : OUT STD_LOGIC); -- Output
END ARCHITECTURE registered;
11
12.2 Register Transfer Level Coding
2. Register Transfer Level VHDL
END ENTITY dff;
6
12.1 Defining clocks, flip-flops & registers
Architecture declaration
ARCHITECBEGIN
PROCESS (clock) BEGIN
IF ( rising_edge(clock) ) THEN IF ( reset=’1’ ) THEN q <= ‘0’; ELSE q <= d; END IF;
VHDL
第四章VHDL简明教程§4.1 VHDL基本结构与语法·VHDL是VHSIC Hardware Description Language的缩写□VHSIC—Very High Speed Integrated Circuit(1982年)·由美国国防部(DOD)制定,以作为各合同商之间提交复杂电路设计文档的一种标准方案·1987年被采纳为IEEE 1076标准·1993年被更新为IEEE 1164标准HDL 的出现是为了适应电子系统设计的日益复杂性。
若以计算机软件的设计与电路设计做个类比,机器码好比晶体管/MOS管;汇编语言好比网表;则HDL语言就如同高级语言,VHDL在语法和风格上类似与现代高级编程语言,如C语言。
但要注意,VHDL 毕竟描述的是硬件,它包含许多硬件特有的结构。
·现在VHDL被广泛用于:电路设计的文档记录设计描述的逻辑综合电路仿真采用VHDL及自顶向下方法在大型数字系统设计中被广泛采用。
在设计中你可采用较抽象的语言(行为/算法)来描述系统结构,然后细化成各模块,最后可借助编译器将VHDL 描述综合为门级。
本教程仅对用于CPLD/FPGA设计描述的VHDL语言作一简单说明。
其设计过程一般如下:1. 代码编写;2. 由综合器(如Synplify,Synopsys等)综合成门级网表;3. 前仿真/功能仿真;4. 布局/布线至某一类CPLD/FPGA中;5. 后仿真/时序仿真。
4.1.1 VHDL的组成一个VHDL设计由若干个VHDL文件构成,每个文件主要包含如下三个部分中的一个或全部:1.程序包(Package);2.实体(Entity);3.结构体(Architecture).——120其各自作用如下图所示:一个完整的VHDL设计必须包含一个实体和一个与之对应的结构体。
一个实体可对应多个结构体,以说明采用不同方法来描述电路。
fpga设计中vhdl语言简介
fpga设计中vhdl语言简介
VHDL是一种硬件描述语言(HDL),旨在帮助工程师和设计师进行复杂电路和系统的设计和仿真。
VHDL语言是由美国国防部发起的,现在已经成为全球应用最广泛的HDL之一。
VHDL语言的基础包括三个部分:实体(entity)、体(architecture)和过程(process)。
实体定义组件的接口,在其内部,architecture结构体提供了具体的实现。
过程是编写复杂操作的基本方式,类似于C语言中的函数。
VHDL语言的数据类型包括标准逻辑类型,如布尔、位和字符类型,以及更复杂的数据类型,如数组和记录类型。
此外,VHDL也支持自定义数据类型。
在FPGA设计中,VHDL语言的主要作用是设计和实现可编程逻辑电路。
VHDL语言描述的电路可以在硬件上运行,也可以使用仿真器进行验证和测试。
总体而言,VHDL语言是一种强大的硬件描述语言,对于设计和实现复杂的电路和系统非常有用。
在FPGA设计中,VHDL语言是必不可少的一部分。
VHDL
VHDL简介VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。
1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。
自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。
此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。
1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。
现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。
有专家认为,在新的世纪中,VHDL与Verilog语言将承担起大部分的数字系统设计任务。
VHDL 的程序结构一个完整的VHDL 程序通常包括实体 (Entity) 、结构体 (Architecture) 、配置 (Configuration) 、程序包集合 (Package) 和库 (Library)5 个部分。
前 4 部分是可分别编译的源设计单元。
库存放已经编译的实体、结构体、配置和程序包集合。
VHDL 程序的基本结构VHDL 的程序结构至少由实体 (entity) 和结构体 (architecture) 两部分组成。
实体是 VHDL 的硬件抽象 , 它表示具有明确的输入、输出的硬件设计的一部分。
结构体指定设计实体输入和输出之间的行为、逻辑关系或功能 , 并且可以采用行为风格、数据流风格、结构化风格或 3 种风格的混合形式进行描述。
(a)一个 VHDL 程序的基本结构; (b) 多个 VHDL 程序的层次关系。
VHDL
1.3 VHDL的作用
HDL打破软、硬件的界限 传统的数字系统设计分为:
硬件设计(硬件设计人员)
软件设计(软件设计人员) 是硬件设计者和 EDA工具之间的界面
EDA工具及 HDL的流行,使电子系 统向集成化、大规模和高速度等方向发 展。 美国硅谷约有80%的 ASIC和 FPGA/CPLD已采用 HDL进行设计。
一般情况下 USE定义区的格式写成
LIBRARY IEEE; USE IEE.STD_LOGIC_1164.ALL; USE IEE.STD_LOGIC_ARITH.ALL; USE IEE.STD_LOGIC_UNSIGNED.ALL;
2.2 实体声明
实体声明:定义系统的输入输出端口
语法:
ENTITY <entity_name> IS Generic Declarations(类属表); Port Declarations(端口表); END <entity_name>; (1076-1987 version) END ENTITY <entity_name> ; ( 1076-1993 version)
子类型声明;常量声明。
END <包名> ; (1076-1987) END PACKAGE BODY <包名> ; (1076-1993)
例:程序包声明
2、 库
含义:存放预先完成的程序包和数据集合体 的仓库,包含了包或包的汇集 格式:LIBRARY 库名; 种类: STD 库(默认库) IEEE库 WORK库(默认库) 面向ASIC的库 用户定义库
硬件描述语言VHDL
1 概述
1.1 什么是VHDL(HDL)?
VHDL语言教程
字符:(Character)
TYPE CHARACTER IS (NUL, SOH,STX, …, ‘ ’, ‘!’,…); --通常用‘’引起
字符串:(String)
精选版课件ppt
14
3.1.3 数据类型转换
VHDL为强定义类型语言,不同类型的数据不能进行运算和直接赋值。 类型标记法
Variable A: integer; Variable B: real; A= integer (B); B=real (A);
函数法
Conv_interger (A);--由std_logic转换为integer型,在std_logic_unsigned包。
上升沿:Clock’ EVENT AND Clock=‘1’
’range:生成一个限制性数组对象的范围
’range: “0 to n” ;
’reverse_range:“n downto 0”
’left:生成数据类型或数据子类型的左边界值; ’right , ’high, ’low, ’length
常用的HDL语言:VHDL 、Verilog HDL
精选版课件ppt
2
VHDL 概述:
VHDL VHSIC
VHSIC Hardwarter Description Language Very High speed integrated circuit
➢ VHDL是美国国防部在20世纪80年代初为实现其高速集成电路 硬件VHSIC计划提出的描述语言;
常数转换法 / 常量转换法
Type conv_table is array(std_logic) of bit;
外文翻译--EDA的发展及VHDL的应用
附录A英文文献The development of EDA and the application of VHDL 90's in 20 centuries, international last electronics and calculator technique more the forerunner's nation, has been being actively investigating a new design method of the electronics electric circuit, and carried on an exhaustive change in the aspects of designing a method, tool wait, obtain huge success.At the design realm of the electronics technique, the application of programmable logic spare part(like CPLD, FPGA), have already got extensive universality, these spare parts brought tremendous vivid for the design of numerical system.These spare parts can pass a software plait a distance but as to it's hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development.The EDA is an electronics design automation of abbreviation, design from the calculator assistance at the beginning of 90's in 20 centuries, calculator assistance manufacturing, calculator assistance test and calculator lend support to the concept of engineering a development since then.The EDA technique is to take calculator as tool, design at EDA software terrace up, use the hardware description language HDL completion a design a document, then is of oneself completed logic to edit and translate, turn Chien, partitioned by the calculator, comprehensive, excellent turn, set up, cloth line with imitate really, until for particular target chip of proper go together with to edit and translate, the logic reflect to shoot with plait distance download etc. work.The EDA technical emergence, biggest raised efficiency and maneuverability of electric circuit design, eased to design of labor strength.These spare parts can pass a software plait a distance but as to it's hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development.Make use of EDA tool, the electronics designer can start design electronics system from the concept, calculate way, agreement...etc., a great deal of work can pass calculator completion, and can design the electronics product is from the electric circuit, the function analyze compute of the whole process of design an IC landscape or PCB landscape on board auto processing completion.Use to the EDA concept or category very breadth now.Include in each realm of the machine, electronics, correspondence, aviation aerospace, chemical engineering, mineral, living creature, medical science, military...etc., all there is EDA application.The EDA technique has already extensively used in each archduke department, the Qi business unit and research teaching sectioncurrently.For example in the airplane the manufacturing the process, from design, performance test and characteristic analytical until fly emulation, may involve an EDA technique.The EDA technique that this text point mainly to the design, PCB design of the electronics electric circuit and IC design. The EDA design can is divided into system class, electric circuit class and physics to carry out class. The EDA in common use software and tool pile up one after another and get into an our country currently and have the EDA software of extensive influence to have:MultiSIM 7(the latest edition of original EWB), PSPICE, OrCAD, PCAD, Protel, Viewlogic, Mentor, Graphics, Synopsys, LSIIogic, Cadence, MicroSim, ISE, modelsim etc..These tools all have stronger function, generally can used for a few aspects, for example a lot of softwares all can carry on an electric circuit design with imitate really, together entering can also carry on PCB to automatically set up cloth line, can output various net form a document with the third square software connect.The VHDL birth in 1982.At the end of 1987, the VHDL is confirm by IEEE and American Ministry of National Defense to describe language for the standard hardware.Announced VHDL standard edition from the IEEE, IEEE-1076(call 87 versions) after, the each EDA company released own VHDL design environment one after another, or declared that the own design tool can connect with VHDL.Henceforth the VHDL designed realm to get to extensively accept in the electronics, and gradually replaced an originally not- standard hardware description language.In 1993, the IEEE carried on to revise to the VHDL, describe ability to up expand a VHDL contents from higher abstract layer and the system, announced the VHDL of new edition.VHDL and Verilog are the industrial standard hardware description of the IEEEs language, again arrive support of numerous EDA companies, at electronics engineering realm, have become in general use hardware to describe language in fact.There is expert think, in the new century in, the VHDL will start to undertake a greatly part of numerical system design mission at the Verilog language. The VHDL language is a kind of deluxe language which useds for an electric circuit design.It expects to appear after the 80's of.BE at the beginning come out by American Ministry of National Defense development to provide the American solider with the credibility which uses to raise a design with cut 1 kind of development period to use the scope smaller design language.VHDL,Translating into chinese is soon extremely high the description language of the integrated circuit hardware.So it of the application mainly is an application in the design of numerical electric circuit.Currently, itis in the application most in china is thedesign which uses in the FPGA/CPLD/EPLD.Certainly in some units with stronger real strenght, it is also use to design ASIC.The VHDL mainly useds for the structure, behavior which describes numerical system,function with connect.In addition to implying many languages sentence which have a hardware characteristic, VHDL languages forms and description style and sentence construction are very similar at general calculator deluxe language.VHDL procedure structure characteristics is an engineering design, or call that the design entity(can be a component, an electric circuit mold piece or a system) is divided into exterior(or call but part, and port) with inner part(or call to can't see part), since involve internal function and calculate way of entity to complete part of.At to 1 designed entity to define exterior interface after, once it internal development completion after, other designs can directly adjust to use this entity.This kind of will design entity to be divided into a little bit basic VHDL system that is a VHDL system inside the concept of outside part design design of a little bit basic and other hardware describe the language compare and the VHDL has a following characteristics:The function is strong and the design be vivid.The VHDL has the function strong language structure, can describe a complicated logic control with the simple and direct and explicit source code.It has a multi-layer design description function, in multiple layers thin turn, finally directly born electric circuit class description.The VHDL supports synchronous electric circuit, difference's tread electric circuit with random the design of electric circuit, this be the other hardware description although the language can't compare to.The VHDL still supports various design method, since support from the bottom upward design, support again from the design of crest declivity;Since the support mold piece turns a design, support layer's turn a design again. Support extensively and be easy to a modification.Because the VHDL has already become IEEE standard the norm of hardware description language, most EDA tools almost support VHDL currently, this is VHDL of further expansion with extensively applied lay foundation.In the design process of the hardware electric circuit, the main design document is the source code which writes with the VHDL, the VHDL easily reads with the structure turn, so be easy to a modification design.The strong system hardware describes ability.The VHDL has a multi-layer design description function, since can describe system class electric circuit, can describe door class electric circuit again.And description since can adopt a behavior description, deposit a machine to deliver description or structure description, can also adopt the hybrid description of threes mixture.Moreover, VHDL support is inertial to delay and deliver to delay, can also accurately build up hardware electric circuit model.VHDL support prepare definite of with from definition of data type, bring hardware description a bigger freedom degree, make design the personnel can expediently establish the system model of high time. The independence is at the design of spare part, have nothing to do with the craft.Don't need to consider a choice completion the spare part of design first while designing a personnel to carry on a design with the VHDL, can concentrateenergy to carry on design of excellent turn.When the design description complete after, can carry out its function with various different spare part structure. Very strong transplantation ability.The VHDL is a kind of hardware description for standardize language, the same of design description can be support by the different tool and make to design to describe of the transplantation make possible.Be easy to a share and reply to use.The VHDL adoption can build up various mold piece that can again make use of according to the design method of database.These canned in advance design or use to design a medium backup mold a piece before and depositted these to the database in, can be in laterly of the design carry on replying to use, can make the design result be design the personnel's to carry on exchanges and share, decrease hardware electric circuit design.(1)compared with other hardware description languages, the VHDL have stronger behavior description ability, come to a decision him to become a system design realm the best hardware a description language thus.The strong behavior description ability is to avert from concrete spare part structure and describe and design important assurance of large-scale electronics system from the logic behavior.(2)the VHDL be abundant of imitate true language sentence and database function, make in any big system of the design can inspect a function possibility of design the system in early days, can carry on imitating true emulation to the design at any time.(3)the ability and procedure structure of the behavior description with lexical VHDL come toa decision the decomposition that he has to support a large-scale design with have already have design of again make use of function.Meet the market demanding large-scale system efficiently, the completion of the high speed has to include many people the several generation hair set even together and abreast works and then can carry out.(4)for use the design of an assurance of VHDL completion, can make use of EDA tool to carry on logic comprehensive with excellent turn, and auto of the VHDL describe the design change into the door class net form.(5)the description of VHDL to design have opposite and independent, the design can not understand the structure of hardware and need not manage the target spare part that the end design carry out, either is what, but carry on an independent design.EDA的发展及VHDL的应用20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,而且取得了巨大成功。
VHDL外文翻译
The application of the development of the EDA and VHDL 90's in20centuries,international last electronics and calculator technique more the forerunner's nation,has been being actively investigating a new design method of the electronics electric circuit,and carried on an exhaustive change in the aspects of designing a method,tool wait,obtain huge success.At the design realm of the electronics technique,the application of programmable logic spare part(like CPLD,FPGA),have already got extensive universality,these spare parts brought tremendous vivid for the design of numerical system.These spare parts can pass a software plait a distance but as to it's hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method,design process of the system design and design idea and promoted the EDA technical quick development.The EDA is an electronics design automation(the Automation of the Electronic Design)of abbreviation,design(CAD)from the calculator assistance at the beginning of90's in20centuries, calculator assistance manufacturing(CAM),calculator assistance test(CAT)and calculator lend support to the concept of engineering(CAE)a development since then.The EDA technique is to take calculator as tool,design at EDA software terrace up,use the hardware description language HDL completion a design a document,then is of oneself completed logic to edit and translate,turn Chien,partitioned by the calculator,comprehensive,excellent turn,set up,cloth line with imitate really,until for particular target chip of proper go together with to edit and translate,the logic reflect to shoot with plait distance download etc.work.The EDA technical emergence,biggest raised efficiency and maneuverability of electric circuit design,eased to design of labor strength.These spare parts can pass a software plait a distance but as to it's hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method,design process of the system design and design idea and promoted the EDA technical quick development.Make use of EDA tool,the electronics designer can start design electronics system from the concept,calculate way,agreement...etc., a great deal of work can pass calculator completion,and can design the electronics product is from the electric circuit,the function analyze compute of the whole process of design an IC landscape or PCB landscape on board auto processing completion.Use to the EDA concept or category very breadth now.Include in each realm of the machine, electronics,correspondence,aviation aerospace,chemical engineering,mineral,living creature,medical science,military...etc.,all there is EDA application.The EDA technique has already extensively used in each archduke department,the Qi business unit and research teaching section currently.For example in the airplane the manufacturing the process,from design,performance test and characteristic analytical until fly emulation,may involve an EDA technique.The EDA technique that this text point mainly to thedesign,PCB design of the electronics electric circuit and IC design.The EDA design can is divided into system class,electric circuit class and physics to carry out class.The EDA in common use software:The EDA tool pile up one after another and get into an our country currently and have the EDA software of extensive influence to have:MultiSIM7(the latest edition of original EWB),PSPICE,OrCAD,PCAD, Protel,Viewlogic,Mentor,Graphics,Synopsys,LSIIogic,Cadence,MicroSim,ISE,modelsim etc..These tools all have stronger function,generally can used for a few aspects,for example a lot of softwares all can carry on an electric circuit design with imitate really,together entering can also carry on PCB to automatically set up cloth line,can output various net form a document with the third square software connect.The VHDL English full name be the HardwareDescription Language of the Integrated Circuit of the Very-High-Speed,birth in1982.At the end of1987,the VHDL is confirm by IEEE and American Ministry of National Defense to describe language for the standard hardware.Announced VHDL standard edition from the IEEE,IEEE-1076(call87versions)after,the each EDA company released own VHDL design environment one after another,or declared that the own design tool can connect with VHDL.Henceforth the VHDL designed realm to get to extensively accept in the electronics,and gradually replaced an originally not-standard hardware description language.In1993,the IEEE carried on to revise to the VHDL,describe ability to up expand a VHDL contents from higher abstract layer and the system,announced the VHDL of new edition,namely IEEE standard of1076-1993editions,.(call93 versions)Now,VHDL and Verilog are the industrial standard hardware description of the IEEEs language,again arrive support of numerous EDA companies,at electronics engineering realm,have become in general use hardware to describe language in fact.There is expert think,in the new century in, the VHDL will start to undertake a greatly part of numerical system design mission at the Verilog language.The VHDL language is a kind of deluxe language which useds for an electric circuit design.It expects to appear after the80's of.BE at the beginning come out by American Ministry of National Defense development to provide the American solider with the credibility which uses to raise a design with cut1kind of development period to use the scope smaller design language.All of VHDL Englishes write BE:The Descriptiong Language of the VHSIC(the Speed Integrated of the Very High Circuit)Hardware.Translating into Chinese is soon extremely high the description language of the integrated circuit hardware.So it of the application mainly is an application in the design of numerical electric circuit.Currently,it is in the application most in China is the design which uses in the FPGA/CPLD/EPLD.Certainly in some units with stronger real strenght,it is also use to design ASIC.The VHDL mainly useds for the structure,behavior which describes numerical system,function with connect.In addition to implying many languages sentence which have a hardware characteristic, VHDL languages forms and description style and sentence construction are very similar at generalcalculator deluxe language.VHDL procedure structure characteristics is an engineering design,or call that the design entity(can be a component,an electric circuit mold piece or a system)is divided into exterior(or call but part,and port)with inner part(or call to can't see part),since involve internal function and calculate way of entity to complete part of.At to1designed entity to define exterior interface after, once it internal development completion after,other designs can directly adjust to use this entity.This kind of will design entity to be divided into a little bit basic VHDL system that is a VHDL system inside the concept of outside part design design of a little bit basic and other hardware describe the language compare and the VHDL has a following characteristics:The function is strong and the design be vivid.The VHDL has the function strong language structure,can describe a complicated logic control with the simple and direct and explicit source code.It has a multi-layer design description function,in multiple layers thin turn,finally directly born electric circuit class description.The VHDL supports synchronous electric circuit,difference's tread electric circuit with random the design of electric circuit, this be the other hardware description although the language can't compare to.The VHDL still supports various design method,since support from the bottom upward design,support again from the design of crest declivity;Since the support mold piece turns a design,support layer's turn a design again.Support extensively and be easy to a modification.Because the VHDL has already become IEEE standard the norm of hardware description language,most EDA tools almost support VHDL currently,this is VHDL of further expansion with extensively applied lay foundation.In the design process of the hardware electric circuit,the main design document is the source code which writes with the VHDL,the VHDL easily reads with the structure turn,so be easy to a modification design.The strong system hardware describes ability.The VHDL has a multi-layer design description function,since can describe system class electric circuit,can describe door class electric circuit again.And description since can adopt a behavior description,deposit a machine to deliver description or structure description,can also adopt the hybrid description of threes mixture.Moreover,VHDL support is inertial to delay and deliver to delay,can also accurately build up hardware electric circuit model.VHDL support prepare definite of with from definition of data type,bring hardware description a bigger freedom degree, make design the personnel can expediently establish the system model of high time.The independence is at the design of spare part,have nothing to do with the craft.Don't need to consider a choice completion the spare part of design first while designing a personnel to carry on a design with the VHDL,can concentrate energy to carry on design of excellent turn.When the design description complete after,can carry out its function with various different spare part structure.Very strong transplantation ability.The VHDL is a kind of hardware description for standardize language,the same of design description can be support by the different tool and make to design to describe of the transplantation make possible.Be easy to a share and reply to use.The VHDL adoption can build up various mold piece that canagain make use of according to the design method of database(Library).These canned in advance design or use to design a medium backup mold a piece before and depositted these to the database in,can be in laterly of the design carry on replying to use,can make the design result be design the personnel's to carry on exchanges and share,decrease hardware electric circuit design.compared with other hardware description languages,the VHDL have stronger behavior description ability,come to a decision him to become a system design realm the best hardware a description language thus.The strong behavior description ability is to avert from concrete spare part structure and describe and design important assurance of large-scale electronics system from the logic behavior.the VHDL be abundant of imitate true language sentence and database function,make in any big system of the design can inspect a function possibility of design the system in early days,can carry on imitating true emulation to the design at any time.the ability and procedure structure of the behavior description with lexical VHDL come to a decision the decomposition that he has to support a large-scale design with have already have design of again make use of function.Meet the market demanding large-scale system efficiently,the completion of the high speed has to include many people the several generation hair set even together and abreast works and then can carry out.for use the design of an assurance of VHDL completion,can make use of EDA tool to carry on logic comprehensive with excellent turn,and auto of the VHDL describe the design change into the door class net form.the description of VHDL to design have opposite and independent,the design can not understand the structure of hardware and need not manage the target spare part that the end design carry out,either is what,but carry on an independent design.Founded in1981,this year has entered the Mentor of25years,is the oldest in the three major electronic design automation(EDA)vendors,and turnover ahead of a competitor four times,in addition to Mentor system design tools,market share,ranking first in the world,especially in the PCB layout, Mentor strengths which also ranked first in the world,its turnover is a full1.5times the second supplier.To assist customers in China"audiovisual"application-specific markets,"Technical Support" Mentor the largest part of human input(in the past each year is almost more than three times the rate of growth)in order to strengthen the ability of the Physical the Design and Functional Architecture;and for the continued development of database management tool is also spared no effort in shipment volume growth of around20%in the past five years,15%of the revenue progress,is the fastest-growing EDA manufacturers.。
vhdl是什么意思
vhdl是什么意思vhdl是什幺意思 VHDL 语言的英文全名是Very High Speed Integrated Circuit Hardware DescripTIon Language ,即超高速集成电路硬件描述语言。
HDL 发展的技术源头是:在HDL 形成发展之前,已有了许多程序设计语言,如汇编、C 、Pascal 、Fortran 、Prolog 等。
这些语言运行在不同硬件平台和不同的操作环境中,它们适合于描述过程和算法,不适合作硬件描述。
CAD 的出现,使人们可以利用计算机进行建筑、服装等行业的辅助设计,电子辅助设计也同步发展起来。
在从CAD 工具到EDA 工具的进化过程中,电子设计工具的人机界面能力越来越高。
在利用EDA 工具进行电子设计时,逻辑图、分立电子原件作为整个越来越复杂的电子系统的设计已不适应。
任何一种EDA 工具,都需要一种硬件描述语言来作为EDA 工具的工作语言。
这些众多的EDA 工具软件开发者,各自推出了自己的HDL 语言。
HDL发展的社会根源是:美国国防部电子系统项目有众多的承包公司,由于各公司技术路线不一致,许多产品不兼容,他们使用各自的设计语言,使得甲公司的设计不能被乙公司重复利用,造成了信息交换困难和维护困难。
美国政府为了降低开发费用,避免重复设计,国防部为他们的超高速集成电路提供了一种硬件描述语言,以期望VHDL 功能强大、严格、可读性好。
政府要求各公司的合同都用它来描述,以避免产生歧义。
由政府牵头,VHDL 工作小组于1981 年6 月成立,提出了一个满足电子设计各种要求的能够作为工业标准的HDL 。
1983 年第 3 季度,由IBM 公司、TI 公司、Intermetrics。
vhdl others用法 -回复
vhdl others用法-回复VHDL (VHSIC Hardware Description Language)是一种硬件描述语言,广泛用于数字电子系统的设计和仿真。
在本文中,我们将介绍VHDL的一些高级使用方法,并展示如何通过一步一步的方式来回答关于VHDL其他用法的问题。
第一步:了解VHDL的基础知识在深入讨论VHDL的其他用法之前,让我们先了解一些VHDL的基础知识。
VHDL是一种用于描述数字电子系统行为和结构的语言。
它允许工程师通过使用模块化设计的方法来描述系统的不同部分,然后将这些部分组合在一起以创建完整的系统。
VHDL还提供了用于仿真和合成电路的工具。
第二步:理解VHDL的其他用法VHDL不仅可以用于描述数字电子系统的行为和结构,还可以用于各种其他用途。
下面是一些我们将在本文中讨论的常见VHDL其他用法。
1. IP核的设计VHDL可以用于设计和实现可重用的IP(Intellectual Property)核。
IP 核是在数字电路设计中广泛使用的可重用模块,它可以提供特定功能,如乘法器、存储器等。
通过使用VHDL,工程师可以描述和实现自定义IP核,并在不同的项目中重复使用。
2. 代码生成和自动化测试VHDL可以与其他工具集成,以自动生成和测试代码。
例如,通过使用Matlab和Simulink等工具,可以生成VHDL代码来实现数字信号处理算法。
此外,VHDL还可以与自动化测试工具(如VHDL Test Bench)一起使用,以验证设计的正确性和功能性。
3. FPGA和ASIC设计VHDL广泛用于FPGA(Field Programmable Gate Array)和ASIC (Application-Specific Integrated Circuit)的设计。
FPGA是一种可编程逻辑器件,可用于实现数字电子系统。
ASIC是一种专用集成电路,用于实现特定应用的数字电路。
通过使用VHDL,工程师可以描述和实现系统级设计,并将其翻译成逻辑门级的FPGA或ASIC设计。
vhdl硬件描述语言课件
通信系统
VHDL可用于设计通信系统中的协议和通信 协议处理器。
计算机体系结构
使用VHDL可以设计和分析计算机体系结构 中的各种模块和组件。
嵌入式系统
嵌入式系统的开发通常使用VHDL进行硬件 描述和模拟。
VHDL的优势
1 可靠性
VHDL的严格类型检查和静态分析使得设计更可靠、更易于维护。
VHDL的数据类型
VHDL支持多种数据类型,包括标量类型、数组类型、记录类型和文件类型。不同的数据类型用于描述 和操作不同的电路信号和数据。
VHDL的运算符和控制结构
VHDL提供了丰富的运算符和控制结构,用于对信号和数据进行处理和操作。这些运算符和控制结构使 得电路设计更加灵活和高效。
2 可复用性
VHDL支持模块化设计,使得设计和开发过程更加高效和可复用。
3 可扩展性
VHDL可以轻松地扩展到更复杂的电路设计,适应不断变化的需求。
VHDL的基本语法
VHDL的基本语法包括实体(en t i t y)声明、体(arch i t ec t u re)声明、信号(si g nal)声明和过程(p r o cess)声明。 这些语法元素用于描述和定义电路的行为和结构。
DL的历史
1
1 981 年
VHDL的早期设计由美国国防部开始开
1987年
2
发,旨在统一不同厂商的硬件描述语 言。
美国电子工程师协会(IEEE)正式发
布了VHDL的第一个标准。
3
1 993 年
国际电工委员会(IEC)将VHDL作为 国际标准(IEC 61691)。
VHDL的应用领域
集成电路设计
VHDL语言介绍与设计
“X ”—不定 “1”—1 “W”—弱信号不定 “H”—弱信号 1 “_”—不可能情况 注意在使用该类型数据时, 在程序中必须写出库说明语句和使用包集合的说 明语句。 3. 用户定义的数据类型 1.1.3 VHDL 语言的运算操作符 在 VHDL 语言中共用 4 类操作符,可以分别进行逻辑运算(Logic) 、关系 运算(Relational) 、算术运算( Arithmetic)和并置运算(Concatenation) 。被操 作符所操作的对象是操作数,且操作数的类型应该和操作符所要求的类型相一 致。需要注意的是,各家 EDA 综合软件对运算操作符支持程序各不相同,使用 时应参考综合工具说明。 1) 逻辑运算符 运算符在 VHDL 语言中逻辑运算符有 6 种,他们分别为: NOT(非) AND(与) NAND(与非) OR(或) NOR(或非) XOR(异或) 2) 关系运算符 关系运算符它们分别是: =(等于) /=(不等于) <(小于) <=(小于等于) >(大于) >=(大于等于) 3) 算术运算符 +(加) -(减) *(乘) /(除) MOD(求模) REM(取余) SLL(逻辑左移) SRL(逻辑右移) SLA(算术左移) ROR(逻辑循环右移) ABS(取绝对值) 4) 其他运算符 <=(信号赋值) :=(信号赋值) -(负) + (正) & (并置运算符,用于位的连接) =>(并联运算符,在元件例化时可用于形参到实参的映射) 1.2 VHDL 语言的结构 VHDL 语言主要组成构件有设计实体( Entity) 、构造体(Architecture) 、 子 程序( Function Procedure ) 、集合包(Package )和库(Library ) ,前四种称为 可编译的设计单元。一个 VHDL 设计就是有这四种构件的组成,编译之后将它 们放在制定的库中共享。其中,实体用于描述设计的接口界面信号,它规定端口 数目,端口方向和端口类型。它与硬件电路设计中 的符号相对应。而结构体指定设计的真实行为,性能和结构,与硬件电路设计中 的原理图相对应。 子程序是可被调用的执行某一特定功能算法的集合。集合包则 是为了使常用的数据类型、 常数和子程序对于其他设计块可用而集中存放的一批 设计单元和约定。
VHDL语言及其应用介绍
VHDL语言及其应用介绍随着电子技术的发展,数字系统的设计正朝高速度、大容量、小体积的方向发展,传统的自底而上的设计方法已难以适应形势。
EDA(Electronic Design Automation)技术的应运而生,使传统的电子系统设计发生了根本的变革。
EDA 技术就是依赖功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言VHDL(Very High Speed Integrated Circui t Hardware Description Language)为系统逻辑描述手段自顶而下地逐层完成相应的描述、综合、优化、仿真与验证,直至生成器件。
VHDL 语言是目前应用于数字系统仿真最为实用的语言之一。
1VHDL 特点VHDL 语言最早由美国国防部提出。
用VHDL 语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。
与传统设计方法相比,VHDL 描述电路行为的算法有很多优点:(1) 设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。
(2) 独立实现,修改方便,系统硬件描述能力强。
(3) 可读性好,有利于交流,适合于文档保存。
(4) VHDL 语言标准、规范、移植性强。
(5) VHDL 类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。
2 数字系统的设计流程VHDL 按要求对系统进行描述,然后综合、仿真、适配,当确认设计符合要求时,再将设计映射至实际的逻辑器件中,设计流程如VHDL 语言已日益成为一种通用的硬件设计交换媒介,计算机辅助工程软件的供应商已把VHDL 作为其CAD 或EDA 软件输入与输出的标准。
其中ALTERA 公司提供了一套十分有特色的综合工具MAX+PLUSⅡ,他提供了全面的逻辑设计能力,从编辑、综合、布线到仿真、下载一气呵成,十分方便。
3 设计实例及仿真结果3.1 设计实例以交通信号灯主控制电路的设计为例,应用MAX+PLUSⅡ软件平台,来说明VHDL 语言在EDA 仿真中的应用。
ieee standard vhdl language_2008
ieee standard vhdl language_2008摘要:1.IEEE 标准VHDL 语言2008 简介2.VHDL 语言的特点和优势3.VHDL 语言的基本结构和语法4.VHDL 语言的应用领域5.IEEE standard VHDL language_2008 的意义正文:IEEE 标准VHDL 语言2008 是一种硬件描述语言,由IEEE(电气和电子工程师协会)制定和推广。
VHDL(VHSIC 硬件描述语言)起源于20 世纪80 年代,是为了满足数字电路设计和验证的需要而发展起来的。
2008 年,IEEE 对VHDL 进行了标准化,并发布了IEEE standard VHDL language_2008。
这一标准对VHDL 语言进行了全面规范,并提高了其可读性和可维护性,为硬件工程师提供了一个强大的设计工具。
VHDL 语言具有许多特点和优势。
首先,它是一种结构化的语言,易于阅读和理解。
VHDL 语言采用了模块化的设计理念,可以将复杂的系统划分为多个模块进行设计,提高了设计效率。
其次,VHDL 语言具有很强的描述能力,可以用于描述各种数字电路,包括组合逻辑、时序逻辑和存储器等。
此外,VHDL 语言还具有丰富的库和标准,方便工程师进行设计和验证。
VHDL 语言的基本结构包括实体(Entity)、结构(Architecture)、行为(Behavior)等部分。
实体部分定义了设计的输入输出端口;结构部分描述了设计的模块化结构;行为部分则详细描述了设计的功能和逻辑。
VHDL 语言的基本语法包括数据类型、运算符、流程控制等,与普通编程语言类似,但更注重对硬件描述的精确和准确。
VHDL 语言广泛应用于数字电路设计和验证、FPGA(现场可编程门阵列)设计和系统级设计等领域。
通过VHDL 语言,硬件工程师可以快速、准确地描述和验证数字电路,提高设计质量和效率。
IEEE standard VHDL language_2008 的发布具有重要意义。
英文翻译
VHDL的概要VHDL的英文全称是高速集成电路的硬件描述语言,诞生于1982年。
在1987年末时,VHDL被IEEE和美国国防部确定为对标准硬件的描述语言。
自IEEE公布了VHDL的标准版本——IEEE-1076(简称87版)后,紧接着各个EDA公司都发布了自己的VHDL设计环境,或者发表声明称自己的开发工具可以连接VHDL。
从那之后,VHDL设计在电子设计领域得到广泛的接受,并且逐渐取代了原先并不标准的硬件描述语言。
在1993年,IEEE继续修正VHDL,从更高的抽象层次和系统描述能力上扩张VHDL的内容,并公布了VHDL 的新版本,即IEEE标准的1976-1993版本(简称93版)。
现在,VHDL和Verilog是IEEE 工业标准硬件描述语言,并且得到了众多EDA公司的支持,在电子工程领域,已经变成通用硬件描述语言。
事实上,在新世纪,VHDL开始在Verilog语言上承担更多的数字系统设计。
VHDL是被用来电子线路设计的高级语言。
它在80年代的后期出现,,最初是由美国国防部开发出来供美军用来提高设计的可靠性和苏建开发周期的一种使用范围较小的设计语言。
VHDL的英文全写是:The Description Language of the VHSIC(Very Hinh Speed Integrated Circuit)。
翻译成中文是超高速集成电路硬件描述语言。
因此它主要应用在数字电路设计中。
目前,在中国应用最广的是用来做FPGA/CPLD/EPLD的设计。
当然在一些实力较为雄厚的单位,它也被用来设计ASIC。
VHDL主要用来描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似与一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
DESIGNING A DIGITAL SYSTEM WITH VHDLValentina Stoyanova KukenskaAbstract:In this paper a digital system designing with VHDL is presented. Here are exposed sequentially all the phases of the very digital system's designing. The main methods are also on show here. The project descriptions’ types are presented. The stress is put on the use of VHDL for synthesis of structural and behavioral models.For creating the project of the chosen digital system an integrated system WebPack was used, as well as ModelSIm XE II for the model's simulation.Keywords: Design, VHDL, digital systems, model, WebPack1. INTRODUCTIONThe digital systems are complex ones, consisting of lots of components. As far as the automated design of such systems is concerned, methods for designing time reducing and limiting the complexity of the task are sought out and applied. A method of the kind is connected with the decomposition and hierarchy principles. The decomposition of the systems is realized in a way, which differentiates functionally independent modules.A digital system can be described as a module with inputs and/or outputs. The electrical values on the outputs are some function of the values on the inputs.One way of describing the function of a module is to describe how it is composed of sub-modules. Each of the sub-modules is an instance of some entity, and the ports of the instances are connected using signal s. This kind of description is called a structural description.In many cases, it is not appropriate to describe a module structurally. One such case is a module, which is at the bottom of the hierarchy of some other structural description. For example, if you are designing a system using IC packages bought from an IC shop, you do not need to describe the internal structure of an IC. In such cases, a description of the function performed by the module is required, without reference to its actual internal structure. Such a description is called a functional or behavioral description.Usually, for structural and behavioral description, either Verilog or VHDL is used. In this paper a designing with VHDL is presented. Here are exposed sequentially all the phases of the very digital system's designing. The main methods are also on show here. The project descriptions’ ty pes are presented. The stress is put on the use of VHDL for synthesis of structural and behavioral models. Here are presented several VHDL models of computer systems’ components.2. METHODS AND STAGES IN DIGITAL SYSTEMS’ DESIGNIn digital systems’ design, as well as design of complex systems, a couple of methods are in use:∙∙top - down designing;∙∙up - down designing.In top - down designing the building up of the system is usually started from below in upright direction through elaboratin g the element blocks’ schemes, assembled later to form the whole product.An advantage of this method is the use of representation on functional block level and the lower, the structural level, is addressed only during the error check simulations within the project.The up-down designing starts with a specification on the highest level. After that, the project is being decomposed into functional blocks and the requirements for the income and outcome time proportions are specified. The functional models are described through behavioral models or by models on register levels and are subsequently simulated.Some of the advantages of the methods are:∙∙аn easier execution of the task’s specifications;∙∙иt allows a projects’ check on system level,without tackling the structural details;∙∙The project’s check is done, with no regard to the technology of its realization. That allows that the choice of technology be made on a laterstage of the designing project.The most effective up-down designing method is the use of an abstract description of the scheme and the sequential details specifying of the different hierarchy levels’ description.The digital systems’ design goes through the next stages:∙∙Specification;∙∙Functional (electrical) designing;∙∙Physical designing;∙∙Manufacturing;∙∙Testing.Through specification the product parameters, necessary for its proper destination, are determined.Through the functional (electrical) designing, the electrical scheme, responsible for the functions and parameters of the product, in terms of the specification, is elaborated.The behavioral stage serves as a description for the scheme as a system, and its entries and exits are marked out. In most of the cases, VHDL models are used.The Functional (electrical) designing deals with main functional blocks’ elaboration. Usually a detailed VHDL description of the functional block is made and being checked by a VHDL simulation.With the increasing complexity of the projects, for the elaboration on structural level, the technique of synthesis is applied. It allows that the scheme with logical elements be synthesized from a VHDL description. Through logical description detailssuch as charging, elements’ delay, are specified and crucial methods and problems with time scattering of signals are defined.The Physical designing stages strongly depend on technology. The common task is concerned with the deploying of the logical elements and defining (tracing) their interrelations.Provided that for the product realization PLD, CPLD or FPGA chips are used, then the result of the physical designing represents a configuration file for designing the chosen device’s resources.The testing of the project represents a number of procedures, used by designers, to provide:∙adequacy between project and specification;∙the execution of the project in terms of the chosen technology.The designing process is usually iterative, including pre-designing of given parts, until the intended indicators are obtained.For the tasks of testing in electrical designing (the functionality of the product and its electrical parameters), simulations are used.The simulation on behavioral level defines how the product will run, before its actual compounding blocks are chosen. For working out of the behavioral models, the hardware description languages are used (VHDL, Verilog and others).Through simulation, on a logical primitives level, the schemes are built up with basic logical elements “AND-NO”, “OR-NO”, inv ertors and triggers and are being simulated in order to find out irrelevances with their expected acting.In functional testing, the delays are not concerned or they are supposed similar for all logical elements.Error identification after the physical designAfter topology’s final elaboration are made the next procedures:∙∙check out of the tech norms throughout manufacturing;∙∙check out for the project’s authenticity.The tech norms for manufacturing are specific for each technological process.The authenticity verification of the project aims to guarantee the product’s proper working. It includes:∙∙finding out the interconnection of the scheme;∙∙finding out the parasite components of the topology.3. TYPES OF DESIGN DESCRIPTIONSThrough the designing process, three types of design description are in use: ∙∙behavioral;∙∙structural;∙∙physical.The behavioral description tackles the system as if it were a kind of “black box” with its entrances and exits, with no regard to its structure. The aim is to ignore the redundant details and to concentrate on the specification of the necessary for the functions, which are to be done by the product. On this stage, languages for the apparatus part are used HDL (Hardware Description Languages) - VHDL, Verilog and others.The structural description defines the way that the system is to be built up. Here, the system’s structure, made of blocks and their interrelations, is tackled. The subsystems, which are to provide its functional execution, as well as their detailed description for analysis of the operational speed, charging and so on, are defined. The structural description can be presented by languages for the description of the hardware, as well as by electrical schemes.The design process is connected with the transformations of th e systems’ descriptions and their sequential details specification. Decomposition from behavioral to structural description can be realized on a number of levels in a hierarchy. From the highest to the lowest, these levels can be outlined as it follows:∙∙system level;∙∙functional level;∙∙logical level;∙∙scheme level.On the highest system level, the system’s behavior is represented by algorithms that describe its functions. In order that these functions be executed, the architecture of the system is worked out, including microprocessors, memories, main boards and other structural components.On the lower level, the system’s behavior is described by Bolivia equations. For their execution, logical elements and triggers are used.4. USE OF VHDL FOR SYNTHESIS OF STRUCTURAL AND BEHAVIORAL MODELSVHDL is a Hardware Description Language for describing digital system [2].VHDL is designed to full a number of needs in the design process.VHDL contains a number of facilities for modifying the state of objects and controlling the flow of execution of modules.In VHDL, an entity is such a module which may be used as a component in a design, or which may be the top-level module of the design. The entity declarative part may be used to declare items, which are to be used in the implementation of the entity.Once an entity has had its interface specified in an entity declaration, one or more implementations of the entity can be described in architecture bodies. Each architecture body can describe a different view of the entity.The declarations in the architecture body define items that will be used to construct the design description.Signals are used to connect sub modules in a design. The sub modules in an architecture body can be described as blocks. A block is a unit of module structure, with its own interface, connected to other blocks or ports by signals. A signal assignment schedules one or more transactions to a signal (or port).The primary unit of behavioral description in VHDL is the process. When more than one process is activated at the same time, they execute concurrently.A process statement which can be used in an architecture body or block. The declarations define items which can be used locally within the process.A process may contain a number of signal assignment statements for a given signal, which together form a driver for the signal.VHDL descriptions write them in a design file. After then invoke a compiler to analyze them and insert them into a design library. A number of VHDL constructs may be separately analyzed for inclusion in a design library. These constructs are called library units. A design file may contain a number of library units.The behavioral model represents a functional interpretation of the designed digital system. The hardware of the digital device is regarded as a kind of a discreet system. Its behavior is described as a number of operations. These operations are applied within the system’s database. Within the creation of behavioral VHDL models, operations are described by processes and their interconnections-by signals. On fig 1 is presented a VHDL model of a linear decipherer.library IEEE;use IEEE.std_logic_1164.all;entity DESHIF isport (x1,x2,x3 in: std_logic;J: out std_logic_vector(0 to 7));end DESHIF;arhitecture STRUCTURAL of DESHIF iscomponent AND3port (I1,I2,I3: in std_logic;O1: out std_logic);end component;component NOT1port (I1: in std_logic;O1: out std_logic);end component;signal a,b,c: std_logic;beginU1: NOT1 port map (I1=>x1,O1=>a);U2: NOT1 port map (I1=>x2,O1=>b);U3: NOT1 port map (I1=>x3,O1=>c);U4: AND3 port map (I1=>a,I2=>b,I3=>c,O1=>J(0));U5: AND3 port map (I1=>a,I2=>b,I3=>x3,O1=>J(1));U6: AND3 port map (I1=>a,I2=>x2,I3=>c,O1=>J(2));U7: AND3 port map (I1=>a,I2=>x2,I3=>x3,O1=>J(3));U8: AND3 port map (I1=>x1,I2=>b,I3=>c,O1=>J(4));U9: AND3 port map (I1=>x1,I2=>b,I3=>x3,O1=>J(5));U10: AND3 port map (I1=>x1,I2=>x2,I3=>c,O1=>J(6));U11: AND3 port map (I1=>x1,I2=>x2,I3=>x3,O1=>J(7));end STRUCTURAL;architecture DATA_FLOW of DESHIF issignal T1,T2,T3: bit;beginT1<= not x1;T2<= not x2;T3<= not x3;F1<=T1 and T2 and T3;F2<=T1 and T2 and x3;F3<=T1 and x2 and T3;F4<=T1 and x2 and x3;F5<=x1 and T2 and T3;F6<=x1 and T2 and x3;F1<=x1 and x2 and T3;F1<=x1 and x2 and x3;end DATA_FLOW;fig.1 VHDL code of a linear deciphererStructural VHDL models are means for reflecting the project’s hierarchy. They are built up by decomposition of digital systems of functionally interconnected parts. These parts are presented as components, and their interconnections are realized through signals. These signals enter and exit the components via ports.For example, within the designing of the digital module, presented on [2], its structural model has three main components-counter, decoder and light-diode seven-segment display. On fig.2 is shown a part of the structural model, synthesized in WebPack.Fig.2 . VHDL structural descriptionThe simulation starts with an initialization phas e, and then proceeds by repeating a two-stage simulation cycl e. In the initialization phase, all signals are given initial values, the simulation time is set to zero, and each module’s behavior program is executed. This usually results in transactions being scheduled on output signals for some later time.The purpose of the simulation is to gather information about the changes in system state over time. This can be done by running the simulation under the control of a simulation monito r. The monitor allows signals and other state information to be viewed or stored in a trace file for later analysis. It may also allow interactive stepping of the simulation process, much like an interactive program debugger.The computer-synthesized models of the structure and behavior of the digital systems are used for the elaboration of project units.5. CONCLUSIONWith the increasing complexity of the projects, structural presentation on a logical elements’ level, becom es a hard, even impossible. Therefore, a higher abstraction level description would allow optimal results to be reached, such as consummation, characteristics, size and price.The hardware description language VHDL is quite suitable for purposes of that kind. It can be used for a high-level behavioral description, as well as for detailed structural description.This language provides:∙∙ a standard way for documenting the project;∙∙means for creation of abstract simulation models, which can be used by each VHDL-simulator;∙∙possibility for an automatic synthesis of the electrical scheme from the project’s abstract d escription.The VHDL language allows the elaboration of a complete functional structural model of the specialized integral scheme, which can be simulated in order to assess its adequacy in terms of the specification’s requirements. Thus, a higher quality of the project is guaranteed, because errors and problems are found out shortly after the start of the designing process.6. REFERENCES[1]. Lipsett R., C. Ussery, VHDL: Hardware Description and Design, 1989.[2]. Kukenska V., I. Simeonov, Designing of a Digital module for Management of a Seven-segmented indication with Programming logics through the use of the Description language VHDL, The 12 International Scientific and Applied Science Conference, ELECTRONICS ET'2002, Sozopol, Bulgaria, September 25-27, 2003.[3]. Navabi Z. , VHDL: Analysis and Modeling of Digital Systems, McGraw-Hill, 1993.用 VHDL 实现数字系统的设计瓦伦蒂娜斯道因那娃库肯斯卡摘要:本文的内容是用VHDL语言设计数字系统,按顺序列出数字系统设计的所有步骤,此外,还有主要方法,介绍项目描述的类型,强调VHDL语言用于结构的合成和行为模式。