实验一_用七段数码管显示简单字符--译码器及其使用 实验报告

合集下载

数码显示译码器实训报告

数码显示译码器实训报告

一、实训目的通过本次实训,掌握数码显示译码器的基本原理、工作原理及电路设计方法,了解数码显示译码器在数字电路中的应用,提高动手能力和实践技能。

二、实训内容1. 数码显示译码器原理及分类(1)原理:数码显示译码器是一种将二进制、BCD码等编码转换为数码管显示的电路。

它主要由编码器、译码器、驱动器等组成。

(2)分类:根据编码方式,可分为二进制译码器、BCD码译码器、十六进制译码器等;根据输出方式,可分为共阳极译码器和共阴极译码器。

2. 数码显示译码器电路设计(1)共阳极译码器电路设计以4-7译码器为例,输入端为二进制编码,输出端为7段数码管的驱动信号。

电路图如下:```A||+---+---+---+---+| | | | |B---+ | | +---C| | | | |+---+---+---+---+| | | |D---+ | +---E| | | |+---+---+---+---+| | | | |F---+ | | +---G| | | | |+---+---+---+---+H```(2)共阴极译码器电路设计以CC4511BCD译码器为例,输入端为BCD码,输出端为7段数码管的驱动信号。

电路图如下:```A||+---+---+---+---+| | | | |B---+ | | +---C| | | | |+---+---+---+---+| | | |D---+ | +---E| | | |+---+---+---+---+| | | |F---+ | | +---G| | | |+---+---+---+---+H```3. 数码显示译码器应用(1)计时器:将计数器输出的二进制编码转换为数码管显示,实现计时功能。

(2)数码管显示模块:在嵌入式系统、智能仪表等设备中,将数字信号转换为数码管显示,方便用户读取数据。

(3)地址译码:在存储器、I/O端口等地址译码电路中,将地址信号转换为输出端口,实现数据传输。

七段数码管显示实验报告

七段数码管显示实验报告

七段数码管显示实验报告实验目的:本实验的目的是通过控制7段数码管的亮灭状态来显示不同的数字和字母。

实验原理:7段数码管常用于显示数字和字母,每个数码管由7个LED灯组成,分别表示A、B、C、D、E、F、G等7个段。

通过控制这些LED灯的亮灭状态,就可以显示不同的数字和字母。

在实际应用中,通常需要使用一个译码器来根据输入的数字或字母输出相应的控制信号。

常用的译码器有7447、DM9368等。

这些译码器通常都是BCD码到7段数码管的译码器。

在本实验中,我们将使用7447译码器来控制7段数码管的亮灭状态。

7447译码器具有4个输入线和7个输出线,每个输入线上的BCD码可以转换成相应的控制信号,用于控制数码管的7个LED 灯。

实验材料:1.7段数码管2.7447译码器3.电路板4.电压源5.连接线实验步骤:1.将7447译码器插入电路板上相应的插槽中,并将数码管连接到电路板上。

2.将电压源连接到电路板上,并调节电压和电流值。

3.根据所需显示的数字或字母,设置相应的BCD码输入信号。

4.打开电源,观察数码管是否能够正确显示。

实验结果:通过本实验,我们可以成功控制7段数码管的亮灭状态,实现了数字和字母的显示。

同时,我们也了解了7447译码器的原理和使用方法。

实验小结:本实验是电子技术的基础实验之一,通过实验我们深入了解了7段数码管和7447译码器的原理和应用,同时也锻炼了我们的动手能力和实验技能。

在实际应用中,7段数码管和译码器常常被用于数字显示、计数器、时钟、温度计等电子设备中,具有广泛的应用前景。

EDA实验报告

EDA实验报告

EDA实验报告班级:姓名:目录实验一:七段数码显示译码器设计 (1)摘要 (1)实验原理 (1)实验方案及仿真 (1)引脚下载 (2)实验结果与分析 (3)附录 (3)实验二:序列检测器设计 (6)摘要 (6)实验原理 (6)实现方案及仿真 (6)引脚下载 (7)实验结果与分析 (8)实验三:数控分频器的设计 (11)摘要 (11)实验原理 (11)方案的实现与仿真 (11)引脚下载 (12)实验结果及总结 (12)附录 (12)实验四:正弦信号发生器 (14)摘要 (14)实验原理 (14)实现方案与仿真 (14)嵌入式逻辑分析及管脚下载 (16)实验结果与分析 (17)附录 (18)实验一:七段数码显示译码器设计摘要:七段译码器是一种简单的组合电路,利用QuartusII的VHDL语言十分方便的设计出七段数码显示译码器。

将其生成原理图,再与四位二进制计数器组合而成的一个用数码管显示的十六位计数器。

整个设计过程完整的学习了QuartusII的整个设计流程。

实验原理:七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。

本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。

例如当LED7S 输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。

接有高电平段发亮,于是数码管显示“5”。

实验方案及仿真:I、七段数码显示管的设计实现利用VHDL描述语言进行FPGA上的编译实现七段数码显示译码器的设计。

运行QuartusII在G:\QuartusII\LED7S\下新建一个工程文件。

新建一个vhdl语言编译文件,编写七段数码显示管的程序见附录1-1。

七段数码显示器显示实验报告

七段数码显示器显示实验报告

七段数码显示器显示实验报告单片机原理及接口技术实验报告实验项目:姓名:专业:班级:学号:一、实验名称七段数码显示器显示实验(SPI通信方式)二、实验设备PC机1台,CEPARK畅学系列实验装置1套三、实验目的1.熟悉I/O口作为数字量输出的初始化;2.熟悉共阳极与共阴极两种数码管的工作原理;3.学会软硬件的设计和调试方法;4.根据七段数码管的特性,对应出每个数字引脚输出的16进制码,然后编写程序。

四、实验要求1.将0-9这十个数字按顺序依次在数码管上显示出来,时间间隔为0.5S;2.熟悉延时函数的使用(可用for循环自己写一个延时函数);3.掌握PIC16F877A芯片及电子元件的使用方法;4.实现单片机软件与硬件的结合,将理论知识应用于实践。

五、理论原理1.LED七段数码显示器由8个发光二极管组成显示字段,根据内部发光二极管的连接形式不同,LED有共阴极和共阳极两种(原理图如下图1所示)。

(实验板采用的LED为共阳极的连接方式)图1.单个共阳极数码管原理图2.接口说明:接口编号为JP44,需要一个8位端口(A~G、DP)去控制,因此提供给LED的字形码也是8位的。

数码管各段编号如下图2所示:图2.数码管各段编号3.LED七段数码显示器各字段与控制端口位的对应关系如下表1所示:表1. 七段数码显示器各字段与控制端口位的对应关系控制端口位D7 D6 D5 D4 D3 D2 D1 D0 字段名dp g f e d c b a 4.共阳极LED七段数码显示器字形代码如下表2所示:字形显示编码字形显示编码0 C0H 9 90H1 F9H A 88H2 A4H b 83H3 B0H C C6H4 99H d A1H5 92H E 86H6 82H F 8EH7 F8H .(小数点)7FH8 80H -(负号)BFH六、实验内容步骤1.接线说明核心板RD口接底板JP15,具体为:RD0-A,RD1-B,RD2-C,RD3-D,RD4-E,RD5-F,RD6-G,RD7-DP2.创建项目打开MPLAB IDE v8.90 →选择Project,进入Project Wizard,进入下面的界面,单击下一步。

七段数码管驱动实验报告

七段数码管驱动实验报告

班级_08网络__ 学号20080611052______ 姓名_袁晓洋__七段数码管驱动实验[实验目的]深入理解单片机IO口编程原理掌握七段数码管的原理和驱动方法掌握7段数码显示编码的方法[实验内容]采用单片机的IO驱动共阳极七段数码管,采用灌电流的方式使对应的LED 发光,并采用代码延时的方式实现七段数码管循环显示从0-9的数字,数字变化的间隔大约为1秒钟。

[实验步骤]在此填写在proteus中的操作步骤,并附绘制的电路图在此填写keil C51代码并附详细注释这是一个共阳极七段数码管将端口接至单片机P0 在共阳极接一个电源!及可实现;#include <reg51.h> //添加头文件#define SEG P0 //定义七段数码管LED连接P0Char code TAB[10]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x83,0xf8,0x80,0x98};//定义数字数组 0--9void delay(int); //声明delay函数main() //主函数{unsigned char i;while(1) 不停地循环for(i=0;i<10;i++){SEG=TAB[i]; 显示数字delay(1000); 延时1秒}}void delay(int x) //延时X*1ms{int i,j;for(i=0;i<x;i++)for(j=0;j<120;j++);}[实验总结]总结试验中遇到的问题和问题的解决方法。

刚开始接触单片机和数码管对电路图和代码不明白,不知道什么是共阳极和共阴极,有什么区别,后来通过反复的看代码,看书和老师的指导对其进行了了解,掌握!。

实验一_用七段数码管显示简单字符--译码器及其使用 实验报告

实验一_用七段数码管显示简单字符--译码器及其使用 实验报告

用七段数码管显示简单字符——译码器及其应用实验报告专业班级:2011级计算机1班学号:1137030 姓名:赵艺湾实验地点:理工楼901 实验时间:2012.9.26实验一用七段数码管显示简单字符——译码器及其应用一、实验目的1、了解显示译码器的结构和理解其工作原理。

2、学习7段数码显示译码器设计。

3、学习用基逻辑门、3-8译码器、4-1选择器控制显示器的显示。

二、实验内容1、了解逻辑门、3-8译码器、4-1选择器的工作原理,设计基本电路,实现以下功能:C2C1C0是译码器的3个输入,用C2C1C0的不同取值来选择在七段数码管上输出不同字符。

七段数码管是共阳极的。

图1 七段译码器C2C1C0 的不同取值对应显示的字母如下:图2 字符编码三、实验仪器及设备: 一、PC 机二、 Quartus Ⅱ 9.0三、 DE2-70 四、显示器四、实验步骤1、列出真值表,计算要实现以上功能时数码管的0-7段对应的逻辑函数式。

真值表如下:函数表达式如下: “0”='02C C +“1”=“2”=0'1'012C C C C C ++“3”=(2C +1C +'0C )(2C +0C +'1C )(2C +'1C +'0C ) “4”=“5”=2C “6”=2C +1C +02C C2、新建一个 quartusII 工程,用以在DE2_70平台上实现所要求的电路。

建立一个BDF 文件,基于SSI ,实现七段译码器电路,用SW3_SW1作为输入C2C1C0,DE2_70平台上的的数码管分别为HEX0~HEX7,输出接HEX1。

参照de2_70_pin_assignments.csv 中的引脚分配表配置引脚。

新建仿真文件,给出输入信号,观察输出信号是否符合要求。

编译工程,完成后下载到FPGA 中。

拨动波段开关并观察七段数码管HEX0的显示,以验证设计的功能是否正确。

十六进制7段数码显示译码器设计实验报告

十六进制7段数码显示译码器设计实验报告

十六进制7段数码显示译码器设计实验报告实验报告:十六进制7段数码显示译码器设计一、实验目的本实验的主要目的是设计一种用于将十六进制数码转化为七段显示的译码器电路。

通过这个实验,我们可以学习和了解数字电路的工作原理、数码管的控制方式以及七段数码的译码方法。

二、实验原理本实验所用到的数码管为共阳数码管,它由7个发光二极管组成,其中的每一个发光二极管称为一个段。

这七个段依次为a、b、c、d、e、f和g,它们分别对应数码管上的abcdefg七个引脚。

当一些引脚输出高电平时,相应的段就会被点亮,从而显示出特定的字符。

为了实现将十六进制数码转化为七段显示的功能,我们需要设计一个译码器电路。

译码器电路的输入为十六进制数码,输出为七段信号,用于控制数码管的每个段的亮灭情况。

为了简化设计,我们可以采用CMOS数字集成电路74LS47来实现译码器电路。

该集成电路内部集成了BCD转七段译码器,可以将二进制代码转化为七段数码显示所需要的信号。

它的输入为四个二进制输入端口A、B、C和D,输出为七个段芯片(a、b、c、d、e、f和g)的控制信号。

三、实验步骤1.首先,根据74LS47的真值表,确定译码器的输入和输出。

2.根据真值表,画出逻辑图,确定硬件电路的连接方式。

3.按照逻辑图和电路连接方式,进行硬件电路的布线。

4.按照实验仪器的操作说明,对电路进行调试和测试。

5.将输入端口连接至外部的十六进制信号源,观察输出端口的数据是否正确。

6.验证电路的正确性和稳定性,如果出现问题,进行排除和修复。

四、实验结果经过实验,我们成功地设计并实现了一个十六进制7段数码显示译码器电路。

当输入端口接收到一个十六进制信号时,通过电路的处理和转换,将其转化为了相应的七段信号,用于控制数码管的每个段的亮灭情况。

通过实验观察,我们发现电路的输出结果与预期一致,且工作稳定。

五、实验总结通过这个实验,我们对于数字电路的工作原理和数码管的控制方式有了更深的了解。

显示译码电路实验报告

显示译码电路实验报告

显示译码电路实验报告显示译码电路实验报告引言:在现代电子技术领域,显示译码电路扮演着重要的角色。

它们可以将数字信号转换为人们可以理解的可视化信息,广泛应用于计算机、电视、手机等设备中。

本实验旨在通过搭建一个显示译码电路,探索其原理和应用。

一、实验目的本实验的目的是了解显示译码电路的工作原理,掌握其基本应用。

通过实践操作,学生们可以更好地理解数字电路的运行机制,提高实际动手能力。

二、实验材料和器件1. 74LS47芯片:这是一种BCD-7段译码器,用于将4位二进制输入转换为7段数码管的输出。

2. 7段数码管:用于显示数字和字母等字符。

3. 连接线、电源等辅助器件。

三、实验步骤1. 连接电路:将74LS47芯片与7段数码管通过连接线连接起来,确保电路连接正确无误。

2. 施加电源:将电路连接到适当的电源上,确保电压和电流符合芯片的工作要求。

3. 输入信号:通过开关或其他输入设备提供4位二进制输入信号。

4. 观察结果:观察7段数码管上显示的字符是否与输入信号对应,验证译码电路的正确性。

四、实验结果与分析经过实验操作,我们成功搭建了显示译码电路,并进行了测试。

在输入4位二进制数的情况下,数码管正确显示了对应的字符。

这表明译码电路能够准确地将二进制信号转换为可视化的字符信息。

通过进一步的观察和分析,我们发现译码电路的工作原理是将输入的二进制数映射到对应的数码管段上。

每个数码管段代表一个二进制位,通过控制该段的通断状态,可以显示不同的字符。

而74LS47芯片则起到了译码的作用,将二进制输入转换为对应的数码管段控制信号。

这种显示译码电路广泛应用于各种计算机和电子设备中。

它使得数字信息可以以更加直观和易读的方式展示给用户,提高了人机交互的效率和便利性。

例如,在计算机屏幕上显示的字符、数字时钟、电子秤等设备都使用了类似的译码电路。

五、实验总结通过本次实验,我们深入了解了显示译码电路的工作原理和应用。

通过实际操作,我们掌握了搭建和测试译码电路的方法,提高了动手实践能力。

七段译码器实训报告

七段译码器实训报告

项目一七段译码器一、实训目的1.掌握输入编辑VHDL文件的方法。

2.掌握编译VHDL文件的方法。

3.掌握仿真VHDL文件的方法4.掌握七段译码器的工作原理。

二、实训器材计算机与Quartus Ⅱ工具软件。

三、实训指导(一)实训原理七段译码器的真值表如表1-1所示。

表1-1 七段译码器的真值表(二)实训步骤1.输入编辑VHDL文件建立工程项目,以decl7s.vhd为顶层实体文件。

建立VHDL文件decl7s.vhd。

编辑VHDL文件decl7s.vhd。

VHDL代码如下:LIBRARY ieee;USE ieee.std_logic_1164.ALL;ENTITY decl7s ISPORT (d:IN STD_LOGIC_VECTOR(3 DOWNTO 0); led:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE a OF DECL7S ISBEGINPROCESS(d)BEGINCASE d ISWHEN "0000"=>led<="0111111";WHEN "0001"=>led<="0000110";WHEN "0010"=>led<="1011011";WHEN "0011"=>led<="1001111";WHEN "0100"=>led<="1100110";WHEN "0101"=>led<="1101101";WHEN "0110"=>led<="1111101";WHEN "0111"=>led<="0000111";WHEN "1000"=>led<="1111111";WHEN "1001"=>led<="1101111";WHEN "1010"=>led<="1110111";WHEN "1011"=>led<="1111100";WHEN "1100"=>led<="0111001";WHEN "1101"=>led<="1011110";WHEN "1110"=>led<="1111001";WHEN "1111"=>led<="1110001";WHEN OTHERS=>NULL;END CASE;END PROCESS;END a;2.编译仿真VHDL文件编译VHDL文件decl7s.vhd。

实验一 七段数码显示译码器

实验一 七段数码显示译码器

实验一七段数码显示译码器module translation7(A,Y); input [3:0] A;output Y;reg [6:0] Y;always@(A) begincase(A)4'b0000:Y<=7'b0111111;4'b0001:Y<=7'b0000110;4'b0010:Y<=7'b1011011;4'b0011:Y<=7'b1001111;4'b0100:Y<=7'b1100110;4'b0101:Y<=7'b1101101;4'b0110:Y<=7'b1111101;4'b0111:Y<=7'b0000111;4'b1000:Y<=7'b1111111;4'b1001:Y<=7'b1101111;4'b1010:Y<=7'b1110111;4'b1011:Y<=7'b1111100;4'b1100:Y<=7'b0111001;4'b1101:Y<=7'b1011110;4'b1110:Y<=7'b1111001;4'b1111:Y<=7'b1110001; default:Y<=7'b0000000; endcaseendendmodule实验二数控分频器module dvf (CLK,d,fout,pfull); input CLK;input [7:0] d;output fout;output pfull;reg [7:0] c;reg fout,pfull;always @(posedge CLK)beginif(c===255) begin c<=d;pfull<=1;end else begin c<=c+1;pfull<=0;endendalways @(posedge pfull)fout=~fout;Endmodule五,实验参考程序module SKFPQ(d,clk,pfull,fout); input clk;input [7:0]d;output fout,pfull;reg full;reg [7:0]cnt;reg fout;always@(posedge clk)begin if(cnt==255)begin cnt<=d;full=1;endelse begin cnt<=cnt+1;full=0;endendalways@(posedge full)fout=~fout;assign pfull=full;endmodule验三十进制频率计设module CNT10(CLK,RST,EN,OUT,COUT);input CLK,RST,EN;output [3:0] OUT;output COUT;reg [3:0] Q;reg COUT;assign OUT = Q;always @(posedge CLK or posedge RST)beginif(RST) Q <= 0;else if(EN)begin if(Q<9) Q <= Q + 1;else Q <= 0;endendalways @(Q)beginif(Q==4'd9) COUT = 1'b1;else COUT = 1'b0;endEndmodulemodule FRECNT(clk1HZ,uclk,led0,led1,led2,led3,p_cnt_en,p_rst_cnt,p_load); input clk1HZ,uclk;output [3:0] led0,led1,led2,led3;output p_cnt_en,p_rst_cnt,p_load;wire e1,e2,e3;wire [3:0] d0,d1,d2,d3;TESTCTL T1(.CLKK(clk1HZ),.T_EN(p_cnt_en),.T_RST(p_rst_cnt),.T_LOAD(p_load));CNT10 C0(.CLK(uclk),.RST(p_rst_cnt),.EN(p_cnt_en),.COUT(e1),.OUT(d0)); CNT10 C1(.CLK(e1),.RST(p_rst_cnt),.EN(p_cnt_en),.COUT(e2),.OUT(d1));CNT10 C2(.CLK(e2),.RST(p_rst_cnt),.EN(p_cnt_en),.COUT(e3),.OUT(d2));CNT10 C3(.CLK(e3),.RST(p_rst_cnt),.EN(p_cnt_en),.OUT(d3));REG4B R0(.LOAD(p_load),.DIN(d0),.DOUT(led0));REG4B R1(.LOAD(p_load),.DIN(d1),.DOUT(led1));REG4B R2(.LOAD(p_load),.DIN(d2),.DOUT(led2)); REG4B R3(.LOAD(p_load),.DIN(d3),.DOUT(led3));endmodulemodule REG4B(LOAD,DIN,DOUT);input LOAD;input [3:0] DIN;output [3:0] DOUT;reg [3:0] DOUT;always @(posedge LOAD )DOUT <= DIN;Endmodulemodule TESTCTL(CLKK,T_RST,T_LOAD,T_EN);input CLKK;output T_RST,T_LOAD,T_EN;reg T_RST;//,T_LOAD,T_EN;reg div;initial div = 1;always @(posedge CLKK)div <= ~div;always @(CLKK or div)beginif((~CLKK)&&(~div)) T_RST = 1;else T_RST = 0;endassign T_LOAD = ~div;assign T_EN = div;endmodule五.实验参考程序module freqcounter(clk,uclk,ge_bit,shi_bit,bai_bit,qian_bit); input clk,uclk;output [3:0] ge_bit,shi_bit,bai_bit,qian_bit;(*synthesis,keep*)reg[3:0]ge_bit,shi_bit,bai_bit,qian_bit; (*synthesis, keep*)reg div;(*synthesis, keep*)wire en,load,rst;(*synthesis, keep*)reg [3:0] A,B,C,D;always @(negedge clk)begin div=~div;endassign en=div;assign load=~en;assign rst=clk&load;always @(posedge uclk or posedge rst)beginif(rst) beginA=4'd0;B=4'd0;C=4'd0;D=4'd0; endelse if(en) begin A=A+4'd1;if(A==4'D10) begin A=4'd0;B=B+4'd1; endif(B==4'D10) begin B=4'd0;C=C+4'd1; endif(C==4'D10) begin C=4'd0;D=D+4'd1; endif(D==4'D10) begin D=4'd0; endendendalways @(posedge load or posedge rst) beginif(rst) beginge_bit=0;shi_bit=0;bai_bit=0;qian_bit=0;endelsebeginge_bit=A;shi_bit=B;bai_bit=C;qian_bit=D;endendendmodule实验四用状态机实现ADC的采样电路设计module ADC0809 (din,CLK,EOC,START,OE,Q,clock); input [7:0] din;input CLK;input EOC;output START,OE;output [7:0] Q;output clock;reg START,OE;parameter s0=0,s1=1,s2=2,s3=3,s4=4; reg [4:0] cs,next_state;reg [7:0] REGL;reg LOCK;assign clock=CLK;always @(cs or EOC)begincase (cs)s0:beginSTART=0;OE=0;LOCK=0;next_state=s1;ends1:beginSTART=1;OE=0;LOCK=0;next_state=s2;ends2:begin START=0;OE=0;LOCK=0;if(EOC==1'B1)next_state=s3;else next_state=s2;ends3:beginSTART=0;OE=1;LOCK=0;next_state=s4;ends4:begin START=0;OE=1;LOCK=1; next_state=s0;enddefault:next_state=s0;endcaseendalways @(posedge CLK )begincs=next_state;endalways @ (posedge LOCK) if(LOCK) REGL=din;assign Q=REGL;endmodule。

七段数码管实验报告

七段数码管实验报告

七段数码管实验报告篇一:西南交大 7段数码管显示译码器实验报告实验四 7段LED数码管显示译码器设计西南交大计算机组成原理实验(代码)实验要求:本实验要求实现16位的二进制数的7段LED数码管显示译码电路,采用动态扫描显示,可以实现计数值或固定值。

实验原理:动态扫描:由3-8译码器的输出来决定哪个数码管亮,sel为3-8译码器的输入,sel=0—7从左到右依次点亮8个数码管,要实现动态扫描,就必须让sel的值随着CLK的每一次上升沿触发而变化。

16位的输入每四位一组作为4个数码管的显示数据,当然还需要一个中间变量实现输入数的转码。

实验代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY tt3 ISPORT( CLK:IN STD_LOGIC;INN:IN STD_LOGIC_VECTOR(15 DOWNTO 0);SEL:buffer STD_LOGIC_VECTOR(2 DOWNTO 0);LED7:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END;ARCHITECTURE ONE OF tt3 ISSIGNAL DATA:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(CLK)BEGINIF CLK EVENT AND CLK= 1 THENIF SEL = 011 THEN SEL = 000ELSE SEL =SEL+1;END IF;CASE SEL ISWHEN 000 = DATA =INN(3 downto 0);WHEN 001 = DATA =INN(7 DOWNTO 4);WHEN 010 = DATA =INN(11 DOWNTO 8);WHEN 011 = DATA =INN(15 DOWNTO 12);WHEN OTHERS = NULL;END CASE;END IF;END PROCESS;PROCESS(DATA)BEGINCASE DATA ISWHEN 0000 = LED7 = 00111111 --0WHEN 0001 = LED7 = 00000110 --1WHEN 0010 = LED7 = 01011011 --2WHEN 0011 = LED7 = 01001111 --3WHEN 0100 = LED7 = 01100110 --4WHEN 0101 = LED7 = 01101101 --5WHEN 0110 = LED7 = 01111101 --6WHEN 0111 = LED7 = 00000111 --7WHEN 1000 = LED7 = 01111111 --8WHEN 1001 = LED7 = 01101111 --9WHEN 1010 = LED7 = 01110111 --10WHEN 1011 = LED7 = 01111100 --11WHEN 1100 = LED7 = 00111001 --12WHEN 1101 = LED7 = 01011110 --13WHEN 1110 = LED7 = 01111001 --14WHEN 1111 = LED7 = 01110001 --15WHEN OTHERS = NULL;END CASE;END PROCESS;END;波形图设计:引脚锁定:Clk----A14 SEL[0]-----PIN_C22SEL[1]----PIN_D22SEL[2]----PIN_G9 SEG[0]---PIN_G16—a ~~~~SEG[7]---PIN_M21—dpDATA[0]—DATA[3]锁定于k1,k2,k3,k4 DATA[4]-DATA[7]锁定于k7,k8,k9,k10DATA[11]-DATA[8] 锁定于s1,s2,s3,s4DATA[15]-DATA[12] 锁定于s7,s8,s9,s10篇二:实验四实验七段数码管显示实验实验四七段数码管显示实验一、实验目的掌握数码管显示数字的原理。

十六进制7段数码显示译码器设计实验报告

十六进制7段数码显示译码器设计实验报告

实验目的与要求实验名称:十六进制7段数码显示译码器设计实验目的:学习7段数码显示译码器的V erilog硬件设计。

实验原理7段数码是纯组合电路。

设输入的4位码为A[3:0],输出控制7段共阴数码管的7位数据为LEDS[6:0]。

输出信号LEDS的7位分别接共阴数码管的7个段,高位在左,低位在右实验内容1、编辑和输入设计文件新建文件夹——输入源程序——文件存盘源程序:module LED(A,LED7S);input [3:0]A;output [6:0]LED7S;reg [6:0]LED7S;always @ (A)begin: LEDcase(A)4'b0000: LED7S<=7'b0111111;4'b0001: LED7S<=7'b0000110;4'b0010: LED7S<=7'b1011011;4'b0011: LED7S<=7'b1001111;4'b0100: LED7S<=7'b1100110;4'b0101: LED7S<=7'b1101101;4'b0110: LED7S<=7'b1111101;4'b0111: LED7S<=7'b0000111;4'b1000: LED7S<=7'b1111111;4'b1001: LED7S<=7'b1101111;4'b1010: LED7S<=7'b1110111;4'b1011: LED7S<=7'b1111100;4'b1100: LED7S<=7'b0111001;4'b1101: LED7S<=7'b1011110;4'b1110: LED7S<=7'b1111001;4'b1111: LED7S<=7'b1110001;default: LED7S<=7'b0111111;endcaseendendmodule2、创建工程打开并建立新工程管理窗口——将设计文件加入工程中——选择目标芯片——工具设置——结束设置3、全程编译前约束项目设置选择FPGA目标芯片——选择配置器件的工作方式——选择配置器件和编程方式——选择目标器件引脚端口状态——选择V erilog语言版本4、全程综合与编译Processing——Start Compilation启动全程编译5、仿真测试RTL图观察器应用总结与体会通过本次实验,对quartus有了进一步的学习和认识,对V erilog也有了深入了解。

译码显示电路实验报告

译码显示电路实验报告

译码显示电路实验报告译码显示电路实验报告引言:译码显示电路是现代电子设备中常见的一种电路结构,它能够将数字信号转换为可见的字符或数字形式,广泛应用于计算机、电视、手机等设备中。

本实验旨在通过搭建一个简单的译码显示电路,了解其工作原理并验证其功能。

实验材料:1. 译码器:74LS472. 七段数码管:共阳极或共阴极型3. 可调电源4. 连接线5. 电阻:220欧姆实验步骤:1. 连接电路:将译码器和七段数码管连接起来。

根据译码器和数码管的引脚连接图,将它们正确地连接在一起。

2. 连接电源:将可调电源连接到电路中,确保电源的电压和电流适合译码器和数码管的工作要求。

3. 输入信号:通过拨动开关或其他输入设备,输入一个4位二进制数作为译码器的输入信号。

4. 观察显示:观察七段数码管的显示情况,确认其是否正确显示输入的数字。

实验结果:在实验过程中,我们使用了一个共阳极的七段数码管和一个74LS47译码器。

通过连接电路,我们成功地将译码器和数码管连接在一起,并连接了适当的电源。

在输入一个4位二进制数作为译码器的输入信号后,我们观察到七段数码管正确地显示了对应的数字。

讨论:译码显示电路的核心是译码器,它根据输入信号的不同,将其转换为对应的输出信号,以控制七段数码管的显示。

在本实验中,我们使用的74LS47是一种常见的BCD译码器,它能够将4位二进制数转换为七段数码管的控制信号。

在连接电路时,我们需要根据译码器和数码管的引脚连接图来正确连接它们。

特别要注意译码器的极性,确保其正常工作。

此外,电源的电压和电流也需要根据译码器和数码管的工作要求来调整,以避免损坏电路元件。

在实验中,我们可以通过输入不同的二进制数来观察七段数码管的显示情况。

通过对比输入和输出的对应关系,我们可以验证译码显示电路的功能是否正常。

如果出现显示错误或其他异常情况,我们可以检查电路连接是否正确,以及电源是否正常工作。

译码显示电路不仅仅应用于七段数码管,还可以应用于其他类型的显示设备,如液晶显示屏、LED显示屏等。

7段显示译码器设计实验报告

7段显示译码器设计实验报告

数字钟实验报告学生专业:电子信息工程学生班级:151143C学生学号:*********学生姓名:***7段显示译码器设计151143324 ***一、实验目的:学习七段数码显示译码器设计,多层次设计方法和总线数据输入方式的仿真。

二、实验原理:7段BCD码译码器的设计,输出信号Segmentout的7位分别接数码管的7个段,高位在左,低位在右。

例如当Segmentout输出为“1101101”时,数码管的7个段:g、f、e、d、c、b、a分别接1、1、0、1、1、0、1,接有高电平的段发亮,于是数码管显示数字“5”。

如果是共阳极的数码显示器,则8段输出应取反,段显码为“10010010”,使用时要注意数码管的接法。

实际产品设计中,一般会用到多个数码管,显示几位数字。

4位数的7段数码管,4位数字共用同样的段输出。

若只想让第一个位显示,其他的位不显示,那么可以只给第一位数字供电,其他的断电,用4LED来控制的,4LED输出0001即可,若只让第二位显示则4LED输出0010即可。

这里的4LED选择控制要显示的位,称为位选。

三、实验内容:module BCD_Segment7(BCDin,Segmentout,Select);input[3:0]BCDin;output Select;output [6:0]Segmentout;reg[6:0]Segmentout;always@(BCDin)begincase(BCDin)4'h0:Segmentout=7'b1000000;4'h1:Segmentout=7'b1111001;4'h2:Segmentout=7'b0100100;4'h3:Segmentout=7'b0110000;4'h4:Segmentout=7'b0011001;4'h5:Segmentout=7'b0010010;4'h6:Segmentout=7'b0000010;4'h7:Segmentout=7'b1111000;4'h8:Segmentout=7'b0000000;4'h9:Segmentout=7'b0010000;4'hA:Segmentout=7'b0001000;4'hB:Segmentout=7'b0000011;4'hC:Segmentout=7'b1000110;4'hD:Segmentout=7'b0100001;4'hE:Segmentout=7'b0000110;4'hF:Segmentout=7'b0001110;default:Segmentout=7'bxxxxxxx;endcaseendassign Select=1'b0;endmodule四、时序仿真波形:引脚设定时序仿真波形参考设置:1、设置仿真时间。

七段译码器实验报告

七段译码器实验报告

综合实验一七段译码器班级——姓名——学号————一、实验目的用VHDL语言设计七段译码器二、实验内容观察七段数码管的真值表,用VHDL语言设计七段译码器三、实验方法采用基于FPGA进行数字逻辑电路设计的方法。

采用的软件工具是MaxplusⅡ软件仿真平台,采用的硬件平台是AlteraEPF10K20TI144_4的FPGA实验箱。

四、实验步骤1. 输入源代码。

打开Maxplus ,点击File -> Project -> Name ,新建工程名为“se7_decoder”,完成点击OK。

然后点击File -> New ,选择Text Editor file ,完成点击OK。

最后输入七段译码器的VHDL 源代码并保存为当前工程名。

2.调试编译。

选择芯片类型:点击Assign -> Device ,选择芯片类型为EPF10K20TI144-4 ,完成点击OK。

再点击MAX+plusII下的Compiler ,直到调试成功如图:3.波形仿真。

点击MAX+plusII-> waveform editor-> Node -> Enter nodes from SNF-> List-> =>-> OK,右击各引脚,设置输入信号值、周期和结束时间,点击存盘,点击MAX+plusII -> Simulator完成波形仿真。

4.时序分析。

点击MAX+plusII下的Timing Analyzer ,完成时序分析如图所示:5. 引脚锁定。

点击Assign -> Pin/Location/Chip,添加各引脚信息,再对文件重新编译一次。

6. 编程下载。

连接好计算机和实验箱,打开电源。

点击MAX+plusII -> Programer →Configure完成下载,验证。

实验小结:总体来说,这次实验完成的还算顺利,初步了解了一点VHDL代码的编写,好像这个代码和真值表密切相关,把他们之间的对应关系找出来就行了,其他不在范围的要用强制规定一下,应该是起排除干扰的作用吧,或者像C++抛出异常也应该可以。

译码器实验报告

译码器实验报告

译码器实验报告
实验目的:掌握和理解译码器的工作原理和使用方法。

实验器材:
1. 译码器(例如74LS138)
2. 逻辑开关
3. 电源
4. 七段数码显示器
5. 连接线
实验原理:
译码器是一种数字电路,用于将输入的二进制信号转换为对应的输出信号。

译码器常用于将计算机的控制信号转换为具体的操作信号,例如将二进制数码转换为七段数码显示器的控制信号。

实验步骤:
1. 将译码器连接到电源上,确保接线正确。

2. 用逻辑开关设置输入信号。

3. 通过连接线将译码器的输出信号连接到七段数码显示器上。

4. 打开电源,观察七段数码显示器上显示的数字是否与输入信号对应。

5. 可以通过改变逻辑开关的状态来改变输入信号,观察七段数码显示器上显示的数字是否随之改变。

实验结果:
通过逻辑开关设置不同的输入信号,观察到七段数码显示器上
显示的数字与输入信号的对应关系,并且随着输入信号的改变而实时改变。

实验结论:
通过译码器的译码作用,可以将输入的二进制信号转换为对应的输出信号,实现数字信号的转换和显示。

译码器的使用大大简化了数字电路的设计和控制。

实验注意事项:
1. 在连接实验电路的过程中,注意正确接线,避免短路和接反等问题。

2. 实验中应当仔细观察七段数码显示器上的数字是否与输入信号对应,以验证译码器的正常工作。

3. 在实验结束后,应及时关闭电源,避免浪费电力和设备损坏的风险。

实验一七段数码管显示译码器

实验一七段数码管显示译码器

实验⼀七段数码管显⽰译码器实验⼀七段数码显⽰译码器⼀.实验⽬的:1.设计七段显⽰译码器,并在实验板上验证;2.学习Verilog HDL⽂本⽂件进⾏逻辑设计输⼊;3.学习设计仿真⼯具的使⽤⽅法;⼆.实验内容1.实现BCD/七段显⽰译码器的“ Verilog ”语⾔设计。

说明:7段显⽰译码器的输⼊为:IN0…IN3共5根, 7段译码器的逻辑表同学⾃⾏设计,要求实现功能为:输⼊“ 0…15 ”(⼆进制),输出“ 0…9…F ”(显⽰数码),输出结果应在数码管(共阴)上显⽰出来。

2.使⽤⼯具为译码器建⽴⼀个元件符号3.设计仿真⽂件,进⾏验证。

4.编程下载并在实验箱上进⾏验证。

三.实验原理:7段数码是纯组合电路,通常的⼩规模专⽤IC,如74或4000系列的器件只能作⼗进制BCD码译码,然⽽数字系统中的数据处理和运算都是⼆进制的,所以输出表达都是⼗六进制的,为了满⾜⼗六进制数的译码显⽰,最⽅便的⽅法就是利⽤译码程序在FPGA/CPLD 中来实现。

例如6-18作为7段译码器,输出信号LED7S的7位分别接图6-17数码管的7个段,⾼位在左,低位在右。

例如当LED7S输出为“1101101”时,数码管的7个段g,f,e,d,c,b,a分别接1,1,0,1,1,0,1;接有⾼电平的段发亮,于是数码管显⽰“5”。

这⾥没有考虑表⽰⼩数点的发光管,如果要考虑,需要增加段h,然后将LED7S改为8位输出。

四.实验步骤1.编辑和输⼊设计⽂件新建⽂件夹——输⼊源程序——⽂件存盘2.创建⼯程打开并建⽴新⼯程管理窗⼝——将设计⽂件加⼊⼯程中——选择⽬标芯⽚——⼯具设置——结束设置3.全程编译前约束项⽬设置选择FPGA⽬标芯⽚——选择配置器件的⼯作⽅式——选择配置器件和编程⽅式——选择⽬标器件引脚端⼝状态——选择Verilog语⾔版本4.仿真测试五.实验参考程序module LED(A,LED7S);input [3:0]A;output [6:0]LED7S;reg [6:0]LED7S;always @ (A)begin:case(A)4'b0000: LED7S<=7'b0111111;4'b0001: LED7S<=7'b0000110;4'b0010: LED7S<=7'b1011011;4'b0011: LED7S<=7'b1001111; 4'b0100: LED7S<=7'b1100110; 4'b0101: LED7S<=7'b1101101; 4'b0110: LED7S<=7'b1111101; 4'b0111: LED7S<=7'b0000111; 4'b1000: LED7S<=7'b1111111; 4'b1001: LED7S<=7'b1101111; 4'b1010: LED7S<=7'b1110111; 4'b1011: LED7S<=7'b1111100; 4'b1100: LED7S<=7'b0111001; 4'b1101: LED7S<=7'b1011110; 4'b1110: LED7S<=7'b1111001; 4'b1111: LED7S<=7'b1110001; default: LED7S<=7'b0111111; endcaseendendmodule六.编译仿真结果1.时序仿真:2.延时分析及结果:3.⽣成RTL原理图:4.该实验的配置模式:5.适配板布局图及实验仪IO脚与芯⽚的管脚对应关系和其详细放⼤图⽚:6.⼗六进制逻辑分析:7.计数器和译码器连接电路的顶层⽂件原理图:七.体会思考:通过本次实验对EDA和QUARTER2有了初步的了解,第⼀次上机感觉挺难的,这次对Verilog也有了深⼊了解。

数码管实验报告实验原理(3篇)

数码管实验报告实验原理(3篇)

第1篇一、实验背景数码管是一种常用的显示器件,它可以将数字、字母或其他符号显示出来。

数码管广泛应用于各种电子设备中,如计算器、电子钟、电子秤等。

本实验旨在通过实践操作,让学生了解数码管的工作原理,掌握数码管的驱动方法,以及数码管在电子系统中的应用。

二、实验原理1. 数码管类型数码管分为两种类型:七段数码管和液晶数码管。

本实验主要介绍七段数码管。

七段数码管由七个发光二极管(LED)组成,分别代表七个笔画。

当七个LED中的某个或某几个LED点亮时,就可以显示出相应的数字或符号。

根据发光二极管的连接方式,七段数码管可分为共阳极和共阴极两种类型。

2. 数码管驱动方式(1)静态驱动静态驱动是指每个数码管独立驱动,每个数码管都连接到单片机的I/O端口。

这种方式下,数码管显示的数字或符号不会闪烁,但需要较多的I/O端口资源。

(2)动态驱动动态驱动是指多个数码管共用一组I/O端口,通过控制每个数码管的扫描时间来实现动态显示。

这种方式可以节省I/O端口资源,但显示的数字或符号会有闪烁现象。

3. 数码管显示原理(1)共阳极数码管共阳极数码管的特点是七个LED的阳极连接在一起,形成公共阳极。

当要显示数字时,将对应的LED阴极接地,其他LED阴极接高电平,即可显示出相应的数字。

(2)共阴极数码管共阴极数码管的特点是七个LED的阴极连接在一起,形成公共阴极。

当要显示数字时,将对应的LED阳极接地,其他LED阳极接高电平,即可显示出相应的数字。

4. 数码管驱动电路(1)BCD码译码驱动器BCD码译码驱动器是一种将BCD码转换为七段数码管所需段码的电路。

常用的BCD码译码驱动器有CD4511、CD4518等。

(2)74HC595移位寄存器74HC595是一种8位串行输入、并行输出的移位寄存器,常用于数码管的动态驱动。

它可以将单片机输出的串行信号转换为并行信号,驱动数码管显示。

三、实验目的1. 了解数码管的工作原理和驱动方式。

译码器实验报告

译码器实验报告

数字电路逻辑与设计实验报告姓名:刘凯班级:0401206 | 学号:2012211791实验名称:译码器及其应用实验目的:1.掌握数码管的使用方式及显示原理2.掌握中规模集成七段显示译码器74LS48的功能,以及进行功能测试。

3.了解多位数码显示电路的组成及原理,通过multisim进行仿真验证。

实验原理:译码器是一个多输入、多输出的组合逻辑电路,它能把给定的一组组代码译成一个个相应的输出状态或一组组新的代码,以表示编码时赋予的原意。

完成这种译码功能的电路称为译码器。

它不仅可用于数字显示,而且可用于代码转换、数据分配、存储器寻址和组合控制信号等方面。

本次试验采用的是74LS48七段显示译码器,下面介绍些七段显示译码器的工作原理。

74LS48是七段显示译码器,其逻辑图、内部输出线路如图1-5-1(a)和(b )所示,逻辑符号见附录,其功能表如表1-5-1所示。

它由全译码器和辅助功能控制电路两部分组成,功能较全。

全译码器的工作情况详见功能表1-5-1。

从功能表可知,当输入码大于十进数9时,七段显示器仍显示一定的图形。

从而可鉴别相应的输入情况。

辅助控制端有三个。

①LT—试灯信号输入端。

用于检查显示数码管的好坏,当LT=0、BI=1时,七段全亮,显示“日”。

这表明数码管是好的,否则是坏的。

②BI—熄灭控制信号输入端(与灭零信号输出端共用该端)。

用于间歇显示的控制,当BI=0时不论输入DCBA 和其他辅助控制信号是什么状态,七段全灭。

③RBI —灭零控制信号输入端。

当RBI =0,且输入DCBA=0000时,七段全灭,数码管不显示。

④RBO—灭零控制信号输出端。

在多位显示电路中,它与RBI 配合使用。

当LT RBI DCBA RBO / BI ••= 为0,可将整数部分的前面数位和小数部分的后面数位的无效零熄灭。

74ls48的功能表接下来是实验中用到的另一个元件,LED七段数码管显示器。

LED 七段数码管显示器这种显示器的内部结构类似PN 结,由七个条形发光二极管构成七段字形。

数码管显示数字实训报告

数码管显示数字实训报告

一、实验目的本次实训的主要目的是让学生通过实际操作,掌握数码管的基本原理、连接方式、驱动方法以及编程技巧,能够利用数码管实现数字的显示。

通过本次实训,学生将能够:1. 理解数码管的工作原理和分类。

2. 掌握数码管的驱动电路和连接方法。

3. 学会使用编程语言控制数码管显示数字。

4. 培养动手能力和解决问题的能力。

二、实验原理数码管是一种常用的显示器件,用于显示数字、字母或其他符号。

根据发光段数的不同,数码管可以分为七段数码管和十六段数码管。

七段数码管由七个发光段组成,可以显示0-9的数字和部分字母;十六段数码管由十六个发光段组成,可以显示更多的字符。

数码管的显示原理是:通过控制各个发光段的亮与灭,来组成不同的字符。

在七段数码管中,通常将七个发光段分别命名为a、b、c、d、e、f、g,其中g段为小数点。

当某个发光段接收到高电平时,该段发光;当接收到低电平时,该段不发光。

数码管的驱动方法主要有以下几种:1. 静态驱动:每个数码管都连接到独立的驱动器上,优点是显示稳定,但需要较多的引脚和布线通道。

2. 动态驱动:多个数码管共用一组驱动器,通过轮流点亮各个数码管,实现多位数码管的显示。

优点是引脚和布线通道较少,但显示效果不如静态驱动。

三、实验器材1. 数码管(七段或十六段)2. 驱动芯片(如74HC595)3. 电阻、电容等电子元件4. 开发板(如Arduino、51单片机等)5. 编程软件(如Arduino IDE、Keil等)四、实验步骤1. 数码管识别:观察数码管的引脚排列,确定各个发光段的连接方式。

2. 驱动电路搭建:根据数码管的类型和驱动方法,搭建相应的驱动电路。

例如,使用74HC595芯片作为驱动器,连接数码管和驱动芯片。

3. 编程控制:使用编程软件编写程序,控制数码管显示数字。

程序主要包括以下内容:- 初始化驱动器;- 设置数码管的显示模式(静态或动态);- 根据需要显示的数字,计算并输出对应的段码;- 控制数码管显示。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

用七段数码管显示简单字符——译码器及
其应用
实验报告
专业班级:2011级计算机1班
学号:1137030 姓名:赵艺湾
实验地点:理工楼901 实验时间:2012.9.26
实验一用七段数码管显示简单字符——译码
器及其应用
一、实验目的
1、了解显示译码器的结构和理解其工作原理。

2、学习7段数码显示译码器设计。

3、学习用基逻辑门、3-8译码器、4-1选择器控制显示器的显示。

二、实验内容
1、了解逻辑门、3-8译码器、4-1选择器的工作原理,设计基本电路,实现以下功能:
C2C1C0是译码器的3个输入,用C2C1C0的不同取值来选择在七段数码管上输出不同字符。

七段数码管是共阳极的。

图1 七段译码器
C2C1C0 的不同取值对应显示的字母如下:
图2 字符编码
三、实验仪器及设备: 一、PC 机
二、 Quartus Ⅱ 9.0
三、 DE2-70 四、显示器
四、实验步骤
1、列出真值表,计算要实现以上功能时数码管的0-7段对应的逻辑函数式。

真值表如下:
函数表达式如下: “0”='
02C C +
“1”=“2”=0'
1'
012C C C C C ++
“3”=(2C +1C +'
0C )(2C +0C +'1C )(2C +'1C +'
0C ) “4”=“5”=2C “6”=2C +1C +02C C
2、新建一个 quartusII 工程,用以在DE2_70平台上实现所要求的电路。

建立一个BDF 文件,基于SSI ,实现七段译码器电路,用SW3_SW1作为输入C2C1C0,
DE2_70平台上的的数码管分别为HEX0~HEX7,输出接HEX1。

参照de2_70_pin_assignments.csv 中的引脚分配表配置引脚。

新建仿真文件,给出输入信号,观察输出信号是否符合要求。

编译工程,完成后下载到FPGA 中。

拨动波段开关并观察七段数码管HEX0的显示,以验证设计的功能是否正确。

基于3-8译码器和4-1选择器重复上述2.、中的步骤完成设计。

(1)基于基本逻辑门电路逻辑图
(2)基于3-8译码器的设计
3-8译码器真值表
根据3-8译码器的真值表和74138芯片的逻辑电路,计算得:
“0”=()31Y Y “1”=“2”=()30Y Y “3”=()321Y Y Y “4”=“5”=()3210Y Y Y Y “6”=()10Y Y
基于3-8译码器的逻辑电路图:
(2)基于4-1选择器的设计
74153 控制选通基于4-1选择器的逻辑电路图:
五、实验心得
通过本次用七段数码管显示简单字符的实验,了解了七段数码管的显示工作方式;了解了QuartusⅡ9.0 的工作流程;了解了3-8译码器和4-1选择器的输入输出方式;巩固了门电路的设计。

六、实验结论
可以通过不同的输入控制七段数码管七个段LED灯的亮灭,从而显示不同的数字或字母。

而不同的输入可通过基本逻辑门、3-8译码器、4-1选择器等多种方式实现。

七、实验思考题
1、从完成的设计中可总结出译码电路的主要作用是什么?
答:变量译码器是一个将n个输入变为2^n个输出的多输出端的组合逻辑电路;输出端为输入变量的最小项的组合,也就是说我们可能用译码器电路实现各种组合逻辑电路,实现了较少输入对较多输出的控制。

2、比较基于SSI(基本逻辑门)、MSI(译码器和选择器)完成同样的设计有何不同,各自的特点是什么?
答:基本逻辑门设计电路更直接,验错更清晰;利用译码器和选择器需要多一层逻辑的思考,相对复杂,但是更有规律。

相关文档
最新文档