多功能简易数字钟
多功能数字钟课程设计
![多功能数字钟课程设计](https://img.taocdn.com/s3/m/7fa42e2178563c1ec5da50e2524de518964bd31a.png)
多功能数字钟课程设计
1 多功能数字钟课程设计
多功能数字钟课程设计是一个实验探索类的课程,它在激发学生
学习热情和强化学生的发明能力的同时,兼顾他们在电子信息技术领
域的技能训练。
2 课程背景
该课程的背景主要来自近几年电子信息技术的飞快发展和日新月
异的变化,在21世纪,科技的发展发展不断推动着社会的发展。
因此,人们对信息技术人才的要求越来越多,技术和工程人才岗位也在变得
越来越多,而这背后便是科技发展需要更多深入的研究和精深的工程
技术。
3 课程介绍
本课程的主要内容包括:数字信号与系统、单片机编程、数字电
路设计、数字技术应用研究等。
主要让学生掌握多功能数字钟的基本
原理,能够设计制作出各种功能现代化的多功能数字钟。
学生可以在
课堂上讨论各种电子信息工程技术,并根据自己的喜好来实现功能,
比如加入计算温度、日期显示、天气消息显示等功能,同时还可以学
习一些单片机编程语言,拿实际的案例来研究各种电子元器件的组装
和工程设计的流程,从而加深自身的科技能力和创新思维。
4 课程目标
该课程目标旨在培养学生具有独立创新思维、独立完成科技领域及其他领域复杂问题研究解决过程的能力,培养跨学科合作精神,在学习电子信息工程技术的基础上,增强学生在团队合作和沟通能力上的综合素质,提高学生的科技竞技能力,增强学生的社会责任感。
多功能数字钟
![多功能数字钟](https://img.taocdn.com/s3/m/44246a8ea0116c175e0e4807.png)
电子技术课程设计多功能数字钟学院:专业、班级:姓名:学号:指导老师:2008年12月目录1、设计任务与要求 (2)2、总体框图 (2)3、选择器件 (2)4、功能模块 (3)(1)时钟记数模块 (3)(2)整点报时驱动信号产生模块 (6)(3)八段共阴扫描数码管的片选驱动信号输出模块 (7)(4)驱动八段字形译码输出模块 (9)5、总体设计电路图 (10)(1)仿真图 (10)(2)电路图 (11)(3)管脚图 (11)6、设计心得体会 (12)一、设计任务与要求1、具有时、分、秒记数显示功能,以24小时循环计时。
2、要求数字钟具有清零、调节小时、分钟功能。
3、具有整点报时,整点报时的同时LED灯花样显示。
二、总体框图多功能数字钟总体框图如下图所示。
它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。
系统总体框图三、选择器件网络线若干/人、共阴八段数码管6个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、seltime(驱动8位八段共阴扫描数码管的片选驱动信号输出模块)、deled(驱动八段字形译码输出模块)。
四、功能模块多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。
(1) 时钟记数模块:<1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。
VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour isport(clk,reset:in std_logic;daout:out std_logic_vector(5 downto 0));end entity hour;architecture fun of hour issignal count:std_logic_vector(5 downto 0);begindaout<=count;process(clk,reset)beginif(reset='0') thencount<="000000";elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#24#) thencount<=count+7;else count<="000000";end if;elsif(count<16#23#) thencount<=count+1;else count<="000000";end if;end if;end process;end fun;<1.2>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity minute isport(clk,clk1,reset,sethour:in std_logic;enhour:out std_logicdaout:out std_logic_vector(6 downto 0));end entity minute;architecture fun of minute issignal count:std_logic_vector(6 downto 0); begindaout<=count;process(clk,reset,sethour)beginif(reset='0') thencount<="0000000";elsif(sethour='0') thenenhour<=clk1;elsif(clk' event and clk='1') thenif(count(3 downto 0)="1001") thenif(count<16#60#) thenif(count="1011001") thenenhour<='1';count<="0000000"; else count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#) thencount<=count+1;enhour<='0';elsecount<="0000000";end if;end if;<1.3>VHDL程序如下:LIBRARY ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY second ISPORT(clk,reset,setmin:IN STD_LOGIC;enmin:OUT STD_LOGIC;daout:out std_logic_vector(6 downto 0)); END entity second;ARCHITECTURE fun OF second ISSIGNAL count:STD_LOGIC_VECTOR(6 downto 0); BEGINdaout<=count;process(clk,reset,setmin)beginif(reset='0') thencount<="0000000";elsif(setmin='0')thenenmin <=clk;elsif(clk'event and clk='1')thenif(count(3 downto 0)="1001")thenif(count<16#60#)thenif(count="1011001")thenenmin<='1';count<="0000000";ELSE count<=count+7;end if;elsecount<="0000000";end if;elsif(count<16#60#)thencount<=count+1;enmin<='0';elsecount<="0000000";end if;end if;end process;END fun;(2)整点报时驱动信号产生模块该模块功能:在时钟信号(CLK)的作用下可以生成波形,SPEAK输出接扬声器,以产生整点报时发声。
多功能数字电子钟_VHDL
![多功能数字电子钟_VHDL](https://img.taocdn.com/s3/m/7bc142a16c175f0e7cd137f8.png)
四、各功能模块的源程序代码 :
-- CONTOR 模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity contor is
1 )“小时” 校时状态: 进入“小时”校时状态后,显示 “小时” 的数码管闪烁,每按动“ k” 键一次,“小时” +1,若不按动“ k”键 则小时数不变,一直按下“ k” 键则小时数一 4Hz 的频率递增计数。
2 )“分”校时状态:进入“分”校时状态后,显示“分”的数 码管闪烁,每按动“ k” 键一次,“分” +1,若不按动“ k”键则分数 不变,一直按下“ k” 键则分数一 4Hz的频率递增计数。
chs,cms,css,f4 :in std_logic; bsg,bmg,bhg,bsd,bmd,bhd :buffer std_logic_vector(3 downto 0); comout :out std_logic); end time_com; architecture time_comx of time_com is begin com:process(hh,mh,sh,hl,ml) begin if(bhg=hh and bhd=hl and bmg=mh and bmd=ml and bsg=sh)then comout<='1'; else comout<='0'; end if; end process; set:process(f4) begin if(f4'event and f4='1')then if(chs='1'and k='0')then if(bhg="0010" and bhd="0011")then bhd<="0000";bhg<="0000"; elsif(bhd="1001")then bhd<="0000";bhg<=bhg+1; elsif(bhd="0000"or bhd="0001" or bhd="0010"or bhd="0011"or bhd="0100"or bhd="0101"or bhd="0110"or bhd="0111"or bhd="1000")then bhd<=bhd+1; end if; end if; end if; end process; process(f4) begin if(f4'event and f4='1')then if(cms='1'and k='0')then if(bmg="0101" and bmd="1001")then
多功能数字钟的设计说明
![多功能数字钟的设计说明](https://img.taocdn.com/s3/m/5ad3ab135f0e7cd1842536c2.png)
《电子工艺实习》课程设计题目:多功能数字钟设计院别:机电学院专业:机械电子工程姓名:学号:同组人员:指导教师:日期:2011.6.20~2011.7.1多功能数字钟的设计摘要数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,并且可以实现更多的功能,如:定时控制、整点报时、闹钟、触摸报整点时数等,在现实生活中,各种数字钟已得到了非常广泛的使用。
数字钟的设计方法有许多种,例如,可用基于NE555的中小规模集成电路组成数字钟,也还可以利用单片机来实现数字钟等。
这些方法都各有其特点,其中利用中小规模集成电路组建数字钟,原理简单,但由于集成电路集成度有限,对于需要实现较多功能的电路设计比较复杂,对于制作者焊接和布线有较高的要求。
用单片机实现的电子钟具有结构简单,并便于功能的扩展,但需要涉及到汇编以及C语言编写程序,对设计者有较高的要求。
本次设计为用中小规模集成电路组成数字钟。
关键词:数字钟;单片机;集成电路;NE555目录1. 设计要求 (1)2.电路的设计 (2)2.1主体电路的设计 (2)2.1.1秒脉冲电路的设计 (2)2.1.2时分秒计数器的设计 (3)2.1.3 译码与显示电路的设计 (5)2.1.4校时电路的设计 (8)2.1.5整点报时电路的设计 (9)2.2整体电路的设计 (11)3.电路功能测试以及常见问题解决本法 (12)3.1Proteus软件介绍 (12)3.2电路功能测试 (13)4、设计总结 (14)5.元件清单 (15)6.参考文献 (15)1. 设计要求1、设计一台能显示日、时、分秒的数字电子钟,要求用六位数码管显示时间,格式为00:00:00。
2、手动校正功能:能分别进行分、时的校正。
3、整点报时功能。
整点报时电路要求在每个整点鸣叫。
根据设计要求,可建立数字钟系统组成框图,如图2-1所示,数字中电路系统由主体电路和扩展电路两大部分组成,其中,主体电路完成数字钟的基本计数功能,扩展电路完成数字钟的整点报时扩展功能。
多功能数字钟.ppt
![多功能数字钟.ppt](https://img.taocdn.com/s3/m/9b30b5d0cc175527062208a1.png)
1、时、分计数器的设计
分计数器是模M=60的计数器 ➢其计数规律为00—01—…—58—59—00…
时计数器是一个24进制计数器 ➢其计数规律为00—01—…—22—23—00… ➢即当数字钟运行到23时59分时,分的个位 计数器再输入一个脉冲时,数字钟应自动 显示为00时00分。
2、在顶层电路中调用元件 符号bcd2seg7.sym到电路 中
进行如图所示的连接绘制
2021/3/6
2021/3/6
五、EP1K30TC144-3 开发试验箱
2021/3/6
2021/3/6
2021/3/6
器 件 引 脚 与 资 源 连 接 对 照 表
2021/3/6
资源名称 时钟
数码管
六、电路编译与适配
(1)选择器件 a. 选择 AssignDevice 选项,弹出 Device 对话框。
b. 在 Device Family 下拉列表框中选择适 配器件的系列,在 Devices 中选择器件 的型号,然后单击 OK 按钮。
(本设计中选择FLEX1k系列的EP1k30TC144-3器件)
管脚的重新分配与定位
选择 MAX+PlusⅡFloorplan Editor选项,即可打开平 面(底层)编辑器窗口,出现如图所示的芯片管脚分配图。
芯片名称区 颜色图例
未赋值节点 和管脚显示
区
器件显示区
选中节点和 管脚区
这是由软件自动分配的,用户可根据需要随意改变管 脚分配,管脚的编辑过程如下。
2021/3/6
七段BCD译码电路1、BCD译码模块的介绍 数据选择器
8进制加法计数器
2021/3/6
2021/3/6
多功能数字电子钟设计
![多功能数字电子钟设计](https://img.taocdn.com/s3/m/769e6e497375a417876f8f08.png)
数字逻辑课程设计-多功能数字电子钟多功能数字钟的设计与仿真一.设计任务与要求设计任务:设计一个多功能数字钟。
要求:1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。
(设计秒脉冲发生器)2.有整点报时功能。
(选:上下午、日期、闹钟等)3. 用中规模、小规模集成电路及模拟器件实现。
4. 供电方式: 5V直流电源二.设计目的、方案及原理1.设计目的(1)熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解面包板结构及其接线方法。
(4)了解多功能数字钟的组成及工作原理。
(5)熟悉多功能数字钟的设计与制作2.设计思路(1)设计数字钟的时、分、秒电路。
(2)设计可预置时间的校时电路。
(3)设计整点报时电路。
3.设计过程3.1.总体设计方案及其工作原理为:数字钟原理框图入图1所示,电路一般包括一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。
通常使用石英晶体振荡器电路构成数字钟,但也可以用555定时器构成。
图1 系统框图数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。
数字钟计时周期是24小时,因此必须设置24计数器,秒、分、时由数码管显示。
ﻫ为使数字钟走时与标准时间一致,校时电路是必不可少的。
设计中采用开关控制校时电路“时”“分”“秒”计数器进行校时操作。
3.2.各独立功能部件的设计(1)分、秒计时器(60进制),时计数器(24进制),星期计数器(7进制)如下图,图中蓝色线为高电平+5v,绿色为接地线,红色线为时钟脉冲。
获得秒脉冲信号后,可根据60秒为一分,60分为一小时,24时为一个计数周期的计数规则,分别确定秒、分、时的计数器。
由于秒和分的显示都为60进制,因此他们可有两级十进制计数器组成,其中秒和分的个位为十进数器,十位为六进制计数器,可利用两片74160集成电路来实现。
多功能数字钟电路设计
![多功能数字钟电路设计](https://img.taocdn.com/s3/m/afabdd3fbfd5b9f3f90f76c66137ee06eff94ea1.png)
多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。
可以使用七段显示器来显示数字。
2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。
3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。
4.日历功能:设计一个日历功能,可以显示当前的日期和星期。
5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。
6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。
7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。
8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。
这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。
多功能数字钟说明书
![多功能数字钟说明书](https://img.taocdn.com/s3/m/7b853cd580eb6294dd886c98.png)
编译成功之后,我把生成的HEX文件通过下载器下载进单片机,“跑一跑”程序看看。没想到,液晶屏就没有显示。。。
DS12C887时钟芯片是整个万年历的重要芯片模块。在焊接之前,我查阅了该芯片的相关资料,认真阅读了解各引脚的作用。同时看了该芯片引脚在实际应用中的连接情况。
DS18B20温度传感器芯片,外形和三极管一样,只有3个引脚。在焊接的时候,尤其要注意1脚接地,三脚接电源。为了能够重复使用该芯片,我先焊了三脚底座,然后可以直接把芯片插上去。
主控制器每隔一段时间(小于一秒钟)读一次时钟芯片的内部寄存器的值,将读出的时间、星期、温度等值实时显示在LCD液晶屏上。同时,主控制器不断的扫描按键电路和温度测量电路,当有按键按下时,识别出按键的值并调整相应的时间、星期值再写入时钟芯片内部。温度数据由测量电路获得的环境温度值送人显示电路。
四、方案比较
单片机底座部分可以最先焊,把电源、地等连好,如EA要接低电平,否则就用片外存储器了。下载器接口则按照教材P240所画的图来进行连接,需要注意的是用于焊接的为短脚,不能颠倒。时钟部分比较简单,因为瓷片电容和晶振没有正负极,但要注意晶振、电容得靠近单片机底座。发光二极管(需要外加一个1K的电阻限流)接在p1.0口,作为最小系统的显示部分。
方案二:DS12C887+1602LCD液晶屏
DS12C887时钟芯片功能丰富价格适中,能够自动产生世纪、年、月、日、时、分、秒以及时间模式转换等的时间信息,芯片内部增加了世纪存储器,从而利用硬件电路解决了“千年”的问题。DS12C887时钟芯片中还自带有锂电池,单片机掉电后时钟芯片内部的时间信息可以保持十年之久。1602LCD液晶屏可以输出2行,每行显示16个字符。虽然1602LCD液晶屏较昂贵,但是该液晶屏显示清晰且不会闪烁,由于液晶屏是数字式的,因此和单片机系统的接口简单,操作方便。1602LCD液晶屏的功耗主要消耗在其内部的电极和驱动IC上,因而耗电量比其它显示器要少得多,功耗较低。以上两种元件的程序编写简单,适用于多方面的应用。
多功能数字电子钟背景介绍
![多功能数字电子钟背景介绍](https://img.taocdn.com/s3/m/67740779bf1e650e52ea551810a6f524ccbfcbfe.png)
多功能数字电子钟背景介绍
从区域格局来看,全国已形成以广州、深圳为龙头的珠三角地区、福建、浙江、江苏、山东、天津等6大多功能时钟主产区;从产量来看,我国已成为世界多功能时钟生产大国,多功能时钟产量稳居世界第一。
监测数据显示,2011年,我国多功能时钟的产量达到2.89亿只。
我国多功能时钟行业发展虽然取得长足的进步,但国内多功能时钟企业及其品牌在国际市场上的信誉度和影响力还微不足道,产量占比虽然已经达到80%以上,但是产值占比不到30%,依然没有话语权和定价权。
多功能时钟一般由振荡器,分频器,译码器,显示器等部分组成,这些都是数字电路中最基本的,应用最广的电路。
当前市场上已有现成的数字钟集成电路芯片出售,价格较便宜。
由于数字集成电路技术的发展,使数字钟具有走时准确,性能稳定,携带方便等特点,是目前人们生活和工作补课或缺的报时用品。
国内厂家的产品拥有时间、日期、温度、夜光、贪睡功能、倒计时、顺计时、省电模式、多组闹钟、可循环显示、多档亮度调节、12/24时制转换、调节亮度、遥控操作等功能。
VHDL多功能数字钟
![VHDL多功能数字钟](https://img.taocdn.com/s3/m/de00f016a5e9856a56126074.png)
基于VHDL语言数字钟设计学院:信息工程学院专业:姓名:学号:2010年6月15日一、设计要求1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。
2、设计精度要求为1秒。
二、设计目的1.掌握各类计数器以及计数器的级联方式;2.掌握数码管动态显示的原理与方法;3.掌握用FPGA技术的层次化设计方法;4.理解数字逻辑硬件和软件的设计思想;三、设计环境:Quartus II CPLD-5型试验箱四、系统功能描述1、系统输入:系统状态及较时、定时转换的控制信号为enset、k、set;时钟信号clk采用50MHz;校时复位信号为reset,输入信号均由按键信号产生。
2、系统输出:LED显示输出;蜂鸣器声音信号输出。
3、多功能数字电子钟系统功能的具体描述如下:(一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。
(二)校时:在计时显示状态下,按下“enset”键,接着按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按上“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按上“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按上“k”键恢复到正常计时显示状态。
若校时过程中按下“reset”键,则系统恢复到正常计数状态。
(1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并以2Hz的频率递增计数。
(2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并以2Hz的频率递增计数。
(3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。
(4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并以2Hz的频率递增计数。
(5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并以2Hz的频率递增计数。
多功能数字钟的设计及制作
![多功能数字钟的设计及制作](https://img.taocdn.com/s3/m/da9c9145be1e650e52ea99a1.png)
多功能数字钟的设计及制作1.设计分析本次设计的数字钟具有校时功能。
我们需要在先设计一个基本的数字钟,然后在此基础上增加校时电路。
一个基本的数字钟由三个部分组成:秒脉冲产生电路,计数电路,译码显示电路,然后就是加上校时电路,一个四部分构成了本次设计的多功能数字钟,其总体方框图如图1-1图1-1 总体方框图2.设计内容2.1秒脉冲产生部分本设计使用由555定时器构成的多谐振荡器来产生1HZ的信号。
虽然此振荡器没有石英晶体稳定度和精确度高,由于设计简单而成为了设计时的首选。
只要在555定时器电路外部配上两个电阻及两个电容元件,并将某些引脚相连,就可以方便地构成多谐振荡器。
555定时器是数字脉冲产生的核心芯片,所以在了解其原理之前,我们需了解555定时器。
555定时器逻辑符号如图2-1所示:图2-1 555定时器逻辑符号管脚功能如表2-1所示:图2-2 秒脉冲电路根据原理和元件图,结合一阶电路暂态过程的三要素法,可以计算出充放电的时间,两者相加即为脉冲周期,脉冲周期的倒数即为脉冲频率。
充电过程的方程式: 2/3Vcc=Vcc+(1/3Vcc-Vcc)e(t1/RC)t1=(R1+R2)C*㏑2=0.7(R1+R2)C放电过程的方程式: 1/3Vcc=0+(2/3Vcc-0)e(t1/RC)t2=R2*C㏑2=0.7R2*C脉冲周期为: t=t1+t2=0.7(R1+2R2)C脉冲频率为: f=1/t=1.43/(R1+2R2)C令R1=15k,R2=68k,C=0. 01F,(其中0.01F的电容的作用是防干扰的)代入数据,计算得,f=0.94HZ≈1HZ基本满足实验要求。
2.2计数部分计数部分的核心芯片是74LS9074LS90是二---五---十进制异步计数器。
它有两个时钟输入CKA和CKB,其中,CPA和Q0组成一位二进制计数器,CKB和Q1Q2Q3组成五进制计数器,若将Q0与CKB相连接,时钟脉冲从CKA输入,则构成了84212BCD码十进制计数器。
多功能数字电子钟的方案设计书(郑思贺)
![多功能数字电子钟的方案设计书(郑思贺)](https://img.taocdn.com/s3/m/483c5e78c850ad02de8041ca.png)
数字电子钟的设计一、概述数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。
它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和报时功能。
因此,一个基本的数字钟电路主要由以下几部分组成。
如图1所示多功能数字钟的组成框图。
图1 数字钟的组成框图二、秒脉冲发生器1. 晶体振荡器a:晶体振器构成晶体振荡器电路给数字电子钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。
不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
如图2所示晶体振荡电路框图。
图2 晶体振荡电路框图b:晶体振荡器电路原理在电路中,非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。
输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。
电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。
由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。
晶体XTAL1的频率选为32768Hz。
其中C1的值取5~20 pF,C2为30pF。
C1作为校正电容可以对温度进行补偿,以提高频率准确度和稳定度。
由于电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。
较高的反馈电阻有利于提高振荡频率的稳定性。
2. 分频器电路分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数。
分频器实际上也就是计数器,为此电路输送一秒脉冲。
3. 秒脉冲发生器原理CD4060的10、11脚之间并接石英晶体和反馈电阻与其内部的反相器组成一个石英晶体振荡器。
电路产生的32768Hz的信号经过内部十四级分频后由3脚(Q14其分频系数为16384)输出脉冲频率为2Hz,再通过一个二分频器分频就得到了1Hz的时钟信号,也就是1S;CD4027为双JK触发器,其内部含有两个独立的JK触发器,其中16脚6脚(2J)5脚(2K)接电源,4脚(R2)7脚(S2)接地,3脚(CP2)输入2Hz脉冲信号,分频后的1Hz脉冲由1脚(Q2)输出。
多功能数字钟课程设计
![多功能数字钟课程设计](https://img.taocdn.com/s3/m/7db12150f121dd36a22d8280.png)
摘要之青柳念文创作多功能数字钟是采取数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站,船埠,办公室等公共场合,成为人们日常生活中不成少的必须品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远超出老式钟表原先的报时功能.诸如定时自动报警、按时自动打铃、时间程序自动节制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的.因此,研究数字钟及其广大应用,有着非常现实的意义.数字电子钟由信号发生器“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成.秒信号发生器是整个系统的时基信号,它直接决议计时系统的精度,一般用555或晶振电路构成的振荡器加分频起来实现.将尺度秒脉冲信号送入“秒计数器”,该计数器采取60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲.“分计数器”也60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”.“时计数器”采取24进制计数,可以实现一天24h的积累计.译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通过六位LED显示器显示出来.整点报时电路是根据计时系统的输出状态发生一个脉冲信号,然后去触发音频发生器实现报时.校时电路是来对“时、分、秒”显示数字停止校对,调整.关键词多功能数字钟计数器译码器显示器校时电路目次摘要 (I)1 绪论 (1)1.1 项目研究的布景及意义 (1)1.2 多功能数字钟的现状和发展趋势 (1)2 设计总体方案 (2)2.1 简要说明 (2)2.2 任务要求 (2)2.3 基来历根基理 (2)3 单元电路 (3)3.1 振荡器的设计 (3) (4) (4) (5) (5)3.4 译码器和显示电路的设计 (6)3.5 校时电路的设计 (6)4单元电路设计 (7) (7) (7)4.2.1 60进制计数器的设计 (7)4.2.2 24进制计数器的设计 (8)4.3 译码及驱动显示电路 (9)4.4 校时电路的设计 (9)4.5 报时电路 (10) (11)5仿真成果及分析 (12) (12) (12) (13) (13)总结分析 (14)致谢 (15)参考文献 (16)附录1 (17)附录2 (18)1 绪论1.1 项目研究的布景及意义20世纪末,电子技术得到了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个范畴,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节拍也越来越快.时间对人们来讲总是那末贵重,工作的繁忙性和复杂性容易使人忘记当前的时间.忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅.但是,一旦重要事情,一时的耽误能够变成大祸.多功能数字钟是采取数字电路实现对时,分,秒,数字显示的计时装置,广泛用于个人家庭,车站,船埠,办公室等公共场合,成为人们日常生活中不成少的必须品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远超出老式钟表原先的报时功能.诸如定时自动报警、按时自动打铃、时间程序自动节制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的.因此,研究数字钟及其广大应用,有着非常现实的意义.1.2 多功能数字钟的现状和发展趋势单片机模块中最罕见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用.今朝,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展.单片机应用的重要意义还在于,它从根本上改变了传统的节制系统设计思想和设计方法.从前必须由摹拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了.这种软件代替硬件的节制技术也称为微节制技术,是传统节制技术的一次革命.在单片机技术日趋成熟的明天,其矫捷的硬件电路设计和软件的设计,让单片机得到了广泛的应用,几乎是从小的电子产品,到大的工业节制,单片机都起到了无足轻重的作用.单片机小的系统布局几乎是所有具有可编程硬件的一个缩影,可谓是“麻雀虽小,肝胆俱全”,单片机的学习和研究是对微机系统学习和研究的简捷途径.2 设计总体方案2.1 简要说明设计一个具有时分秒显示的数字钟.2.2 任务要求(1) 具有正常走时的基本功能;(2) 具有校时功能(只停止分、时的校时);(3) 具有整点报时功能;(4) 信号发生电路采取石英晶体构成的振荡器;(5) 列出步调,画出设计的逻辑电路图.(6) 电路停止仿真、修改,使仿真成果达到设计要求;(7) 装并测试电路的逻辑功能.2.3基来历根基理数字钟的原理框图如图2-1所示,是由555多谐振荡器、分频器、秒、分、时计数器、译码器、显示器和校时电路组成.555多谐振荡器发生的信号颠末分频器作为秒脉冲,秒脉冲送入计数器计数,计数成果通过“时”、“分”、“秒”译码器显示时间.图2-1 数字钟的原理框图3 单元电路3.1 振荡器的设计数字钟应具有尺度的时钟源,用它发生频率稳定的1Hz脉冲信号,称为秒脉冲,因此振荡器是计时器的核心.通常采取集成电路555定时器与RC组成的多谐振荡器.晶体振荡器给数字钟提供一个频率稳定准确的方波信号,一般输出为方波数字式晶体振荡器通常有两类:一类是用TTL门电路构成;另外一类是通过CMOS非门构成电路.这里我们采取555多谐振荡器.图3-1 1000Hz NE555多谐振荡器分频器的设计由NE555组成的多谐振荡器发生1KHz稳定的脉冲信号,但是时钟电路需要1Hz的秒脉冲信号,所以我们采取由3个74HC161组成的1000分频电路.图3-2 74HC161分频器3.3计数器的设计获得秒脉冲信号后,可根据60秒为1分,60分为1小时,24小时为一天的规律计数.因此,计数器由“秒”、“分”、“时”计数器电路组成,“秒”,“分”计数器为六十进制加法计数器,时计数器为二十四进制加法计数器.采取两片中规模集成电路74LS160组成六十进制加法计数器,可操纵74LS160异步清零端通过反馈归零的方法来实现,也可操纵74LS160同步置数端用置数法来实现.图3-3-1 六十进制加法计数器由两个74LS160和74LS00四2输入与非门组成二十四进制“时”计数器,个位与十位计数器均采取同步级联方式.选择十位计数器的输出端和个位计数器的输出端通过与非门节制两片计数器的清零端,可实现二十四进制递增计数.图3-3-2 二十四进制加法计数器译码器和显示电路的设计译码是将给定的代码停止翻译.计数器采取的码制分歧,译码电路也分歧.译码和显示电路是将“秒”、“分”、“时”计数器中每块集成电路的输出状态翻译成七段数码管能显示十进制数所要求的电信号,然后经数码管,把相应的数字显示出来.译码管有多个型号可以选择,如74LS248、74LS247等.图3-4 译码器显示电路3.5 校时电路的设计校时电路的作用是当计时器刚接通电源或走时出现误差时,实现对“时”、“分”、“秒”的校准.在电路中设有正常计时和校准位置.校时电路可以采取手动校时或自动校时.3.5.1 时、分时间校准电路3.5.2 秒时间校准电路图3-5 校时电路4单元电路设计图4-1 发生1Hz时间脉冲的仿真电路图秒、分计数器为60进制计数器.小时计数器为24进制计数器.实现这两种模数的计数器采取中规模集成计数器74LS160.4.2.1 60进制计数器的设计“秒”74LS160构成的60进制计数器.首先将两片74LS160设置成十进制加法计数器,将两片计数器并行进位则最大可实现100进制的计数器.现要设计一个60进制的计数器,可操纵“反馈清零”的方法实现.当计数器输出“0110、0000”时,通过门电路形成一置数脉冲,使计数器归零.图 4-2-1 60进制计数器电路图4.2.2 24进制计数器的设计同理应个位计数状态为“0100”,十位计数器状态为“0010”时,要求计数器归零.图 4-2-2 24进制计数器图4.3 译码及驱动显示电路译码电路的功能是将“秒”、“分”、“时”计数器的输出代码停止翻译,变成相应的数字.用于驱动LED七段数码管的译码器常常使用的有CD4511,CD4511是BCD-7段译码器,其输出是OC门输出高电平有效,专用于驱动LED七段共阴极显示数码管.由CD4511和LED七段共阴极数码管组成的一位数码显示电路如图 16 所示.若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,即可停止分歧数字的显示.图 1-3译码及驱动显示电路图4.4 校时电路的设计数字种启动后,每当数字钟显示与实际时间不符进,需要根据尺度时间停止校时.校“秒”时,采取等待校时.校“分”、“时”的原理比较简单,采取加速校时.对校时电路的要求是 :1.在小时校正时不影响分和秒的正常计数 .2.在分校正时不影响秒和小时的正常计数 .如图所示,当开关打向下时,因为校正信号和0相与的输出为0,而开关的另外一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态.与非门可选74LS00,非门则可用与非门2个输入端并接来代替节俭芯片.因此实际使用时,须对开关的状态停止消除抖动处理,图17为加2个0.01uF的电容.图 4-4 校时电路图4.5 报时电路根据要求,电路应在整点准时报时,当时间到达一个整小时时,电路会发生一次蜂鸣提醒.图 4-5 报时电路图图4-6 总电路图5仿真成果及分析图 2-1时钟成果仿真图星期电路原理和时分秒的计时电路原理相同,选用74LS160做计数器,CD4511做译码显示功能,这里星期电路兼具调整功能,下方开关打至高电平,星期电路会自动跳动直至准确后再拨动开关.图5-2 星期电路仿真图图5-3 手电电路图5.4测试成果分析经测试之后,电路可以实现设计要求,可以实现数字钟的基本功能,比方计数,如图22,同时多功能模块校时功能和报时功能都可使用,如图24.基于仿真成果可以认定,此次多功能数字钟的设计是成功的.数电课设即将竣事,一星期的课程设计给我留下了很深的印象,电路的设计比想象的要复杂一点,而且会不时的出现一些小问题,而我们处理一个个小问题的过程就是我们收获的过程,大体电路设计完毕后我们开端寻求扩大功能,包含报时电路,星期电路和应急用的小手电电路,都会让我为之兴奋,而仿真软件的应用也的确让我们任性了一把,比方因为原件选择的错误一直不克不及仿真..而在总体电路设计完毕后还要分模块为焊接做准备,也让我对统筹规划有了进一步的懂得.而电路的焊接就是对耐烦细心动手的考验了,在一个月黑风高的夜晚,终于我么完成了电路的焊接,,,很高兴在这个充满创意的数电课设上收获颇丰~~~感谢高教师的全力帮忙,感谢所有教师的支持与厚爱!当然还要感谢我的组员和我一起奋战在第一线!我们一起履历过失败,挫折,但是我们都降服掉了所有的坚苦,最后终于见到了辛勤尽力后的彩虹!感谢大家!参考文献[1] [2] 何立平易近. 单片机系统设计. 北京航空航天大学出版社[M].1993.[3] 姚行中,关林风. 微型计算机及外部设备常常使用芯片手册[M].清华大学出版社.1999[4] 康华光,电子技术基础(数字部分).高等教导出版社.[5] 杨旭雷,张浩. 基于RS-485总线的测控系统串行通信协议及软硬件实现[J].电气自动化. 2002(2).附录1 总电路图附录2 元件清单。
多功能数字时钟毕业设计【范本模板】
![多功能数字时钟毕业设计【范本模板】](https://img.taocdn.com/s3/m/305ff82c58f5f61fb63666a5.png)
摘要数字电子时钟是人们日常生活中不可或缺的必需品.电子钟主要是利用现代电子技术将时钟电子化、数字化.与传统的机械钟相比,具有时钟精确、显示直观、无机械传动装置等优点,因而得到广泛应用.另外,在生活和工农业生产中,人们对电子钟的功能又提出了诸多要求:报时、闹钟、日历、温度显示,这就需要电子时钟的多功能性。
根据人们的不同要求,本设计主要为实现一款可正常显示时钟,测量环境温度、湿度,带有定时闹铃,倒计时的多功能电子时钟。
本设计采用液晶显示以其亮度高、显示直观等优点被广泛应用于智能仪器及家用电器等领域。
该时钟系统主要由时钟模块、闹钟模块、环境温度湿度检测模块、液晶显示模块、键盘控制模块以及信号提示模块组成.能够准确显示时间(显示格式为时时:分分:秒秒,24小时制),可随时进行时间调整,具有闹钟时间设置、闹钟开/关、止闹功能,能够对时钟所在的环境温度进行测量并显示。
设计以硬件软件化为指导思想,充分发挥单片机功能,大部分功能通过软件编程来实现,电路简单明了,系统稳定性高。
关键词:电子时钟;单片机;多功能;温湿度传感器AbstractDigital electronic clock is indispensable to daily life. Electronic clock is the use of modern electronic technology to clock electronic, digital. Compared with the traditional mechanical clock, a clock—accurate,intuitive display, no mechanical transmission device,etc.,and thus are widely used. In addition,the in the the in the life and industrial and agricultural production,, the people pairs of the the the function of of electronic bell also proposed a a lot of of the requirements of:timekeeping,alarm clock,calendar,temperature display, which requires the the the versatility of electronic clock。
多功能四位数字电子时钟的制作和教学
![多功能四位数字电子时钟的制作和教学](https://img.taocdn.com/s3/m/77af54a2de80d4d8d05a4fa5.png)
电子基础0 引言我国高度重视应用型人才培养,以适应新时代背景下的产业界需求[1]。
为响应国家号召,我校为电专业低年级本科学生开设电子制作课程[2],让学生学习根据电路原理图将零散的电子元器件焊接在印刷电路板(PCB)[3]上,并通过调试和组装完成电子产品的制作。
该课程对学生学习兴趣的激发[4],形成基本电路系统[5]的概念,以及焊接[6]等实践能力的培养都有积极的作用。
本文以多功能四位数字电子时钟的制作为例,介绍产品的制作过程,并阐述课程的教学要点。
1 产品介绍及制作材料这是一款基于51单片机[7]的数字电子时钟,其功能包括:时钟显示,星期和日期显示,闹钟功能,整点报时,断电记忆,根据环境光线变化自动调整屏幕亮度,以及实时显示环境温度。
学生的电子制作套件中包含:PCB板,所需电阻器、光敏电阻、热敏电阻、瓷片电容、独石电容、电解电容、晶振、二极管、发光二极管、三极管[8]、集成电路IC座、芯片STC15W404AS[9]、电池底座、电源插座、数码管,按键,以及透明塑料外壳。
该实践环节用到的实验器材包括:恒温电烙铁、焊锡丝、斜口钳、镊子、螺丝刀。
2 多功能数字电子时钟的制作流程多功能数字电子时钟的制作流程如图1所示。
学生拿到电子制作套件后,首先进行电子制作前的准备,如根据教师建议领用所需电子制作工具,设置合适的电烙铁温度[10],对电烙铁进行预热。
再对电原理图进行分析,将电原理图与PCB板上的实物电路一一对应。
同时,要将拿到的电子元件进行分类,测量电阻阻值等元件参数。
在制作阶段,参考电原理图,在PCB板上找到元件的对应位置,插入元件,并在PCB板反面进行焊接。
在全部元件焊接完成后,对电路进行组装,调试系统功能,并提交给指导老师进行功能测试和验收。
图1 多功能数字电子时钟制作流程图3 教学要点■3.1 元器件的认识与测量电子制作教学的第一个环节,是带学生认识不同的元器件及其测量方法。
教师用PPT及实物展示方法引导学生逐一认识电子制作套件中的元器件,并对数字万用表的使用进行教学,让学生学会用数字万用表测量电阻阻值、二极管正负极、电容值等。
多功能数字钟
![多功能数字钟](https://img.taocdn.com/s3/m/e42bef87bceb19e8b8f6bafa.png)
一、课程设计题目:多功能数字钟二、课程设计的设计任务和基本要求1、设计一个24小时数字钟。
2、能手动校正时、分。
3、整天报时:在59分51、53、55、57秒时输出750Hz音频信号,在59分59秒时输出1KHz信号,结束时刻为整点。
4、闹钟系统。
三、设计总体思路和原理如图1所示,数字钟电路系统由主体电路和扩展电路两大部分组成。
其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能:报时和定时功能。
该数字钟系统的工作原理是:振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。
秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。
计数器的输出分别经译码器送显示器显示。
计时出现误差时,可以用校时电路校时、校分。
各扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。
时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时时1s时时时时时时时时时1 时时时时时时时时时时时时四、单元电路设计1、计数器脉冲信号经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。
“秒”、“分”计数器为60进制,小时为24进制。
(1)60进制计数器数字钟的“分”和“秒”计数器均为模60的计数器(图2),它们的个位都是十进制计数器,而十位则是六进制计数器,其计数规律为00->01->…->58->59->00…。
可选用74160作为“分”和“秒”的个位和十位计数器,其中,十位计数器将74160连接成模6计数器。
(2)24进制计数器数字钟的“时”计数器为模24的计数器,其计数规律为00->01->…->22->23->00…,即当数字钟运行到23时59分59秒时,在下一个秒脉冲作用下,数字钟显示00时00分00秒。
多功能数字钟的设计方案及制作
![多功能数字钟的设计方案及制作](https://img.taocdn.com/s3/m/12930ae384254b35eefd34ca.png)
目录摘要 (1)1数字钟的结构设计及方案选择 (2)1.1振荡器的选择 (2)1.2计数单元的构成及选择 (3)1.3译码显示单元的构成选择 (3)1.4校时单元电路设计及选择 (4)2 数字钟单元电路的设计 (4)2.1振荡器电路设计 (4)2.2时间计数单元设计 (4)2.2.1集成异步计数器74LS390 (5)2.2.2 用74LS390构成秒和分计数器电路 (5)2.2.3用74LS390构成时计数器电路 (6)2.2.4 时间计数单元总电路 (7)2.3译码显示单元电路设计 (7)2.4 校时单元电路设计 (7)2.5整点报时单元电路设计 (1)3 数字钟的实现电路及其工作原理 (9)4电路的搭建与调试 (10)5结束语 (10)参考文献 (11)附录1: (12)摘要数字钟被广泛用于个人家庭及公共场所,成为人们日常生活中的必需品。
诸如定时自动报警、按时自动打铃、定时广播、自动起闭路灯、定时开关烘箱、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。
因此,研究数字钟及扩大其应用,有着非常现实的意。
数字电子钟,从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
数字电子钟有以下几部分组成:振荡器,分频器,60进制的秒、分计时器和12进制计时计数器,秒、分、时的译码显示部分及校正电路等。
关键词:数字钟 555多谐振荡器计数器 74LS390 74LS48数字电子时钟的设计及制作1数字钟的结构设计及方案选择数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
主要由振荡器、分频器、计数器、译码器显示器和校时电路组成。
振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,通常使用石英晶体震荡器,然后经过分频器输出标准秒脉冲,或者由555构成的多谐振荡器来直接产生1HZ的脉冲信号。
秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“12翻1”规律计数。
多功能数字钟_
![多功能数字钟_](https://img.taocdn.com/s3/m/1a7e72f158fb770bf68a5503.png)
Xilinx FPGA实验报告——多功能数字钟多功能数字钟一、设计内容及要求1.设计一个电子时钟。
2.要求可以显示时、分、秒。
小时为24翻1。
3.用户可以设置时间,即校时。
分快校时、手动校时两种4.具有可以设任意时刻闹的闹钟功能。
(扩展一)5.具有仿电台报时功能:接近整点时的51、53、55、57秒响低音;59秒响高音。
(扩展一)6.具有自动报整点时数功能。
(扩展二)7.具有手动报整点时数功能。
(扩展二)8.具有LCD显示的功能。
9.具有万年历的功能,能根据日期查询到当天是星期几。
二、实验条件说明(包括实验板、芯片资源的介绍)1.实验板:Spartan3E XC3S500E2.芯片资源:S3E实验平台性能与特点a.XILINX XC3S500E Spartan-3E FPGA:提供了最多232个I/O引脚和10000个逻辑单元。
b. XILINX 4Mbit Flash配置PROM。
c. XILINX XC2C64A CoolRunner系列CPLD:提供用户使用或辅助FPGA配置。
d.64MByte、16位数据宽度、100MHz的DDR SDRAM接口。
e.16MByte 并行INTEL公司的 NOR FLASH:可存储FPGA配置信息或MicroBlaze指令序列。
f. 16Mbits ST半导体的SPI 串行FLASH:可存储FPGA配置信息或MicroBlaze指令序列。
g. 2行,每行可显示16个字符的LCD:用来显示FPGA输出信息。
h. PS/2接口:用来外联键盘或鼠标,扩展输入设备i. VGA接口:可显示64种颜色。
j. 10/100M以太网接口:提供了以太网物理层接口,便于MAC层IP的验证。
k.两个标准RS232接口:可方便连接PC和其他工业设备进行数据传输。
l. USB的下载接口配置接口。
m.板载50MHz晶体振荡器。
n.4输出基于SPI接口的数模转换器。
o. 2输入基于SPI接口、带可编程增益放大的模数转换器。
多功能数字钟电路设计
![多功能数字钟电路设计](https://img.taocdn.com/s3/m/bac990da0875f46527d3240c844769eae009a3c2.png)
多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。
下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。
1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。
该译码器接收来自实时时钟(RTC)模块的BCD编码输出。
RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。
BCD 编码输出通过CD4543译码器转换为七段LED显示。
2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。
RTC模块可以提供年份、月份和日期的BCD编码输出。
这些编码输出通过CD4543译码器转换为七段LED显示。
3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。
我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。
然后,我们可以使用一个比较器来比较当前时间和闹钟时间。
如果它们匹配,闹钟就会响起。
4. 定时器功能
定时器功能可以通过555定时器来实现。
我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。
当定时器完成计时时,它可以触发一个报警器或执行其他操作。
总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。
这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
中国矿业大学徐海学院电子技术综合设计姓名:学号:专业:电气工程 13-5 班题目:多功能简易数字钟专题:电子技术综合设计设计地点:电工电子实验室设计日期:2015年11月23日至2015年12月3日成绩:指导老师:2015年 12 月电子技术综合设计任务书学生姓名专业年级电气13-5班学号设计日期:2015年11月23日至2015年12月3日设计题目:电子技术综合设计设计专题题目:多功能数字钟设计主要内容和要求:1. 主要内容:①用CC4518双四位BCD同步加计数器设计60秒、60分、24小时归0的计数电路;②用CC4511 七段译码驱动/锁存器及LG5011AH共阴数码管,设计译码及显示电路(数码管需加限流电阻);③用脉冲开关设计校准功能;④脉冲信号发生器:用NE555构成多谐振荡器(f=1KHz)2. 整体电路原理图60秒(60分)及24小时------计数、译码、显示3. EWB仿真图60秒、60分、24小时------计数、译码、显示4. 设计原理图用PROTEL99设计原理图5. 设计PCB版图用PROTEL99设计PCB板图6. 功能扩展要求设计:①定点报时功能②12小时归1计数电路指导教师签字:年月日摘要本次电子技术综合设计实验是模拟电子技术和数字电子技术的综合应用,充分结合了组合电路和时序电路设计多功能数字钟。
完成在能够正常进行时、分、秒计数的基础上加入定时和12归1的功能。
我们首先从系统方案设计论证开始,先后用EWB完成数字钟的仿真设计,实现了用NE555定时器组成的无稳态电路产生频率为1Hz的脉冲,用CC4518实现了24、60进制的计数,用 CC4511与数码管实现了对计数输出进行译码和显示;最终结合门电路完成了计数与校准的主板功能。
然后用PROTEL99根据EWB中已经画好的仿真图进行相应的原理图绘制,当做完封装及检查无误后生成PCB板,完成整个电路软件方面的设计工作。
最后进行硬件的焊接。
先焊接具有计时、显示、校时功能的主板,之后焊接具有定点报时的扩展板,最终将主板与扩展板进行连接调试结束本次的电子综合设计实验。
关键词:NE555脉冲 CC4518计数器 CC4511译码显示校时目录1 设计方案及器件选择 (1)1.1总体方案图 (1)1.2 器件选择 (1)1.3 技术指标 (1)1.3.1 基本功能 (1)2 数字钟设计原理 (2)2.1 秒脉冲发生器 (2)2.1.1 555芯片介绍 (2)2.1.2 工作原理 (2)2.2秒、分、小时计数电路 (3)2.2.1 CC4518双BCD同步加法计数器 (3)2.2.2 CC4518构成60、24进制计数电路 (3)2.3 CC4511 7段锁存/译码/驱动器 (4)2.3.1 LC5011-11 LED/7段(共阴极)数码管管脚图及内部结构原理图 (5)2.3.2译码/显示电路 (5)2.3.3 60进制计数、译码、显示电路 (5)2.4校时电路 (6)2.4.1校时电路及其原理图 (6)2.4.2开关特性及校时脉冲功能表 (7)2.5 12归1电路 (7)2.5.1 12归1计数电路 (7)2.5.2 12归1计数电路工作原理 (7)3 系统电路图 (9)3.1数字钟电路原理图 (9)3.2系统整体仿真图(见附录) (10)3.3数字钟电路Protel设计图(见附录) (10)3.4印刷电路板的元件分布图(见附录) (10)3.5 印刷电路板布线图(见附录) (10)4 数字钟的焊接及注意事项 (11)4.1数字钟的焊接(见附录) (11)4.2数字钟焊接的注意事项 (11)5 参考文献 (12)6 总结和体会 (13)7 附录 (13)7.1 系统整体仿真图 (14)7.2 数字钟电路Protel设计图 (15)7.3 印刷电路板的元件分布图 (16)7.4 印刷电路板布线图 (16)7.5数字钟焊接板(布线图) (17)7.6元器件清单 (17)7.7所焊电路板实体图 (18)1 设计方案及器件选择1.1总体方案图1.2 器件选择计数电路:用CC4518计数器译码电路:用CC4511译码显示电路:用LG5011AH共阴数码管秒脉冲信号发生器:(1)用555构成多谐振荡器(2)选用32768Hz的晶振构成振荡电路,然后经过CD4060的14级分频分出2Hz,再经过CD4040的2分频分出秒脉冲。
1.3 技术指标1.3.1 基本功能(1)实现60秒、60分、24小时的计数、译码、显示;(2)具有校准功能;(3)自带秒脉冲信号发生器2 数字钟设计原理2.1 秒脉冲发生器2.1.1 555芯片介绍(1)参数计算R1=6.8kohm R2=3.3kohm C1=0.1mf C2=0.01uf1120.7()1707tw R R C ms =+=220.71231tw R C ms ==120.7(2)1938T R R C ms =+=(2)多谐振荡器的特点:① 不需外触发的自激振荡器;② 无稳定状态,均为暂稳态;③ 矩形波中含有丰富的高次谐波,习惯称多谐振荡器。
2.1.2 工作原理(1) VCC 通过R1、R2向C 充电,在VC 没有充电到 2/3VCC 之前,Vo 保持1 不变。
(2) 当VC =2 /3VCC 时→Vo 由1翻转为 0 。
→T 导通,→电容C 经R2、T 放电。
(3)当VC 降至VCC /3时,使得→Vo 回到 1 ,→T 截止,→电容C 再充电,进入循环。
2.2秒、分、小时计数电路2.2.1 CC4518双BCD同步加法计数器管脚图计数状态表功能表工作原理:CC4518计数状态表由上图得,左边为十位计数,右边为个位计数,当个位计数器计到9下个脉冲来时,Q4的下降沿,接EN端,个位清零并向十位进1,当十位Q3Q2为11,这个脉冲给十位个位,十位的清零端,使之清零。
此后一直循环计数。
2.2.2 CC4518构成60、24进制计数电路CC4518构成60进制计数电路如图2-5所示,左边为十位计数电路,右边为个位计数电路,当个位计数器计到9(Q4Q3 Q2Q1=1001)时,即Q4=1,给十位一个进位脉冲,此时Q4的下降沿,接EN端,使得十位进一。
当Q3Q2=11这个脉冲来时,向个位,十位同时清零。
Q3Q2为11,使清零端工作十位个位同时清零,循环计数。
CC4518构成24进制计数电路如图2-5所示,左边为十位计数,右边为个位计数,当个位计数器计到9时,即Q4=1,给十位一个进位脉冲,此时Q4的下降沿,接EN端,使得十位进一。
当十位Q2=1,各位Q3=1时这个脉冲来时,二者相与后,把脉冲给向个位,十位,使得它们清零,循环计数。
2.3 CC4511 7段锁存/译码/驱动器管脚图功能表(1)灯测试功能:LT可检查七段显示器各字段是否能正常发光。
当LT = 0 时,不论Q0-Q3状态如何,七段全部显示,以检查各字段的好坏。
(2)消隐功能: 当BI=0时,输出a-b都为低电平,各字段熄灭。
(3)数码显示:当BI=1 、LT=1、 LE=0,译码器工作,当Q3Q2Q1Q0 端输入8421BCD时,译码器对应的输出端输出高电平1,数码显示相应的数字。
(4)锁存:在LE从“0”转换到“1”时,输出显示由输入的BCD码决定。
2.3.1 LC5011-11 LED/7段(共阴极)数码管管脚图及内部结构原理图(a)LC5011-11管脚图 (b)2-7LC5011-11内部结构图2.3.2译码/显示电路1. 数码管内部已将3端、8端连接在一起,所以使用时,3端接地,8端悬空。
(手册数据),工作电流为I(手册数2.限流电阻计算:数码管的工作电压为UD,限流电阻据),译码器输出的高电平Ua~g,则限流电阻上的电压应该为U-UD阻值:R =(Ua ~ g-U)/ID3.高电平点亮数码管,显示数字0~9。
输出与显示数2.3.3 60进制计数、译码、显示电路单元电路工作原理:信号经CC4518双BCD同步加法计数器处理后,再经CC4511进行译码,当BI=1 LT=1 LE=0,译码器工作,当Q3Q2Q1Q端输入8421BCD码时,译码器对应的输出端输出高电平1,把信号最后送到数码管显示数。
2.4校时电路2.4.1校时电路及其原理图2.4.2开关特性及校时脉冲功能表(a)开关特性功能表(b)脉冲特性功能表工作原理:数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。
即为用COMS与或非门实现的时或分校时电路,一端与低位的进位信号相连;另一端与校正信号相连,校正信号可直接取自分频器产生的1HZ信号;输出端则与分或时个位计时输入端相连。
当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。
2.5 12归1电路2.5.1 12归1计数电路2.5.2 12归1计数电路工作原理工作原理:使用CC4518的计数器,上升沿有效我们需要用到的是上升沿计数下降沿从9 ,12归1从0到9再从9到0原理图信号源及D触发器给一个脉冲下降沿有效高电平有效不计数,计数器异步清0个位到9清零,十位12清0,最后置1,相与后给清0端,12异步计数端,归0后置1,低位的时候开始清0。
12归1工作原理图3 系统电路图3.1数字钟电路原理图60秒、60分、24小时计数、译码、显示电路手绘图如图所示3.2系统整体仿真图(见附录)3.3数字钟电路Protel设计图(见附录)3.4印刷电路板的元件分布图(见附录)3.5 印刷电路板布线图(见附录)4 数字钟的焊接及注意事项4.1数字钟的焊接(见附录)4.2数字钟焊接的注意事项(1) 检查印刷线路板,是否有断线、短路等。
(2) 第一步焊IN4148二极管(黑圈为负极), IN4007(IN4002)二极管(白圈为负极),两个二极管要平行在一条线上。
(3) 第二步焊限流电阻,电阻黄色为有效环需放在上方,同时摆放要整齐。
(为了保护发光数码管防止电流过大而损坏数码管需加,电源电压低可以取值小些,电源电压高可以取值大些)。
(4) 第三步焊集成电路座,座的缺口为标志,方向应该在左边。
(5) 电解电容须分清正负极,长脚为正短脚为负,独石电容不分正负极。
(6) 发光二极管有正负极之分,长脚为正短脚为负,不要接反。
(7) 安插集成芯片时要看清候型号,注意芯片缺口方向应该在左边,缺口对应的左下方为1管脚。
(8) 开关(K1)调整秒,开关(K2)调整分,开关(K3)调整小时,开关K4为暂停,通过调整使时钟的秒、分、时走时与标准时间同步。