8421BCD码加法器

合集下载

数字电子技术典型题选

数字电子技术典型题选

数字电⼦技术典型题选数字电⼦技术典型题选⼀、填空题1.在数字电路中,逻辑变量的值只有个值,即和。

2.在逻辑函数的化简中,合并最⼩项的个数必须是2n 个。

3.组合逻辑电路的输出仅取决于该电路当前的输⼊信号,与电路原来的状态。

4.TTL三态门的输出有三种状态:⾼电平、低电平和⾼阻态状态。

5.基本的逻辑关系有,,。

6.组成计数器的各个触发器的状态,能在时钟信号到达时同时翻转,它属于同步计数器。

7. A/D转换器的转换过程包括,,,四个步骤。

8.施密特触发器有 2 个稳定状态.,单稳态触发器有 1 个稳定状态.,多谐振荡器有0 个稳定状态。

9.随机存储器RAM的电路结构主要由、和三部分组成。

为构成4096×8的RAM,需要⽚1024×4的RAM芯⽚,并需要⽤位地址码以完成寻址操作。

10. 8位移位寄存器,串⾏输⼊时经个CP脉冲后,将得到8位数据的并⾏输出;欲将其串⾏输出,需经个CP脉冲后,数码才能全部输出。

11.(93)10= ( ) 16= ( ) 8= ( ) 2 。

12.寻址1M×16的内存单元需要⽤根地址线,根数据线。

13.RS触发器的特性⽅程为,其约束条件为。

14. D触发器的特性⽅程T触发器的特性⽅程。

15.正逻辑中,⾼电平表⽰,低电平表⽰。

16.时序逻辑电路通常由和两部分组成。

17.共阴极的LED数码管应与输出电平有效的显⽰译码器匹配。

18.共阳极的LED数码管应与输出电平有效的显⽰译码器匹配。

19.某逻辑函数F的卡诺图如图所⽰,则F= 。

20.单稳态触发器的特点是电路有⼀个和⼀个。

21.“逻辑相邻”是指两个最⼩项因⼦不同,⽽其余因⼦。

22..在数字系统中,所有的运算都可以分解成和两种操作。

23..TTL电路如图,则F1= F2=F3=24. CMOS电路的阈值电压为。

25. 现场可编程门阵列FPGA 主要由IOB CLB 互连资源和SRAM组成。

25. A/D转换过程包括,,,等步骤。

bcd码加法.

bcd码加法.

二.BCD码基本知识

BCD码有很多种表示方法,我们通常用 的BCD码是8421BCD码,我们单片机课 程当中的BCD码如不特别说明就是指 8421BCD码,8421BCD码实际就是用 0000—1001这样十个四位二进制数表示 0—9十个十进制数,但我们是以字节为 单位的,一个字节是八位二进制数,如 果高四位和第四位各存一个BCD码,这 样叫做压缩BCD码。
任务八BCD码相加
在单片机应用系统中,通常都要求进行 BCD码的运算,特别是在十进制运算的系 统中,很多的系统在人机界面的输出部分 往往都要用十进制显示,所以BCD码是很件来观看BCD码的相加过程。
在单片机应用系统中,通常都要求进行BCD码的运算, 特别是在十进制运算的系统中,很多的系统在人机界 面的输出部分往往都要用十进制显示,所以BCD码是 很重要的一部分。本任务通过WAVE6000软件来观看 BCD码的相加过程。 【任务目的】 1、理解什么是BCD码。 2、理解BCD码相加的过程。 【任务描述】 1、通过不同数据区的数据改变观察 BCD码的情况。 2、通过WAVE6000的窗口观察BCD码相加的情
MOV 50H , A
MOV A , 41H ADDC A , 31H DA A MOV 51H , A MOV A , #0
ADDC A , #0
MOV 52H , A END
;将加完并转化完的十进制数存至和值寄 存器的低位 ; ;将两个加数的高位相加 ;将高位相加后调整 ;将处理后的数据存至和值高位 ;如果有进位的话,将进位放至万位, 即将进位位Cy加至ACC ;既可。 ;ACC与0带进位位相加,就把Cy加至 ACC了 ;将万位存至52H ;结束伪指令
参考程序如下: ORG 0000H ;定位伪指令,指定下一条指令的地址,第一条指 令必须放在0000H MOV 30H , #78H ;第一个加数的高位。具体数值可以自己修改! MOV 31H , #34H ;第一个加数的低位。具体数值可以自己修改! MOV 40H , #56H ;第二个加数的高位。具体数值可以自己修改! MOV 41H , #12H ;第二个加数的低位。具体数值可以自己修改! MOV 50H , #0 ;将和值所要存储的数据区先清零。 MOV 51H , #0 MOV 52H , #0 MOV A , 40H ;因为加减指令必有一个为ACC暂存器,所以先将 一个加数的低 ;位送至ACC中,用于和另一个加数的低位相加。

8421BCD码加法器

8421BCD码加法器

电子线路课程设计(报告)题目8421BCD码加法器系别物理与电子科学系专业电子科学与技术班级08电科(4)班学号*********学生姓名吴迪指导教师徐竞日期2010.7.10~2010.7.15目录一题目要求与方案论证 (2)1.1设计题目 (2)1.1.1题目要求 (2)1.1.2 方案论证 (2)二电子线路设计与实现 (4)2.18421BCD加法器的设计 (4)2.28421BCD加法器的构成 (5)三结果与分析 (9)3.1两个四位8421BCD码加法的实现 (9)3.2调试注意事项 (10)四总结与体会 (11)参考文献 (12)附录 (13)一题目要求与方案论证1.1设计题目8421BCD码加法器1.1.1题目要求通过开关J1~J8分别设置2个4位8421BCD码输入,通过全加器,实现相加后的输出,结果采用数码管观察。

主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选1.1.2 方案论证表1.1 4008BD功能表表1.2 74HC4511功能表有真值表可知:两个四位8421BCD码相加后得到的是一个四位或五位的二进制数,不好直接通过两个数码管来显示运算结果,所以要考虑用两个4008BD全加器来把计算结果转换为8421BCD码来输入显示译码器,从而实现把运算结果用数码管显示出来。

如下表所示:表1.3 数制转换二电子线路设计与实现2.1 8421BCD加法器的设计一、根据题目要求得到其功能表如下:二、由表我们可以算出Y的表达式由前16项有(1)3210321032103210321032103231Y S S S S S S S S S S S S S S S S S S S S S S S S S S S S =+++++=+(2)由后10项有1O Y C ==由(1)(2)有Y=C O +S 3S 2+S 3S 1三、理论图图2.1 逻辑电路图2.2 8421BCD 加法器的构成一、数据的产生与输入通过J1~J8八个单刀双掷开关在+5V 和GND 之间的切换来产生两个4位8421BCD 码作为输入的数据,当开关打到+5V 时输入数据1,打到GND 时输入数据0。

BCD码加法器

BCD码加法器
6 0110
+ 7 → + 0111
………… …………
13 1011
1101在8421BCD码中是非法码,结果错误,如果加6修正后,则产生了进位信号,且本位
1101
+ 0110
…………
1,0011
“0011”也是正确的。
③若和产生进位,则结果错误,也需加6修正。如
8 1000
+ 9 → + 1001
卓越工程师班第一次大作业
用四位全加器构成
一位BCD码加法器
班级:001111
作者:00111116 江新远
实现方式一:器件
一、问题
用四位二进制全加器74LS283构成一位8421BCD码加法电路
二、74LS283介绍
74LS283是TTL双极型并行4位全加器,,特点是先行禁卫,因此运算速度很快,其外形为双列直插。它有两组4位二进制数输入 ,一位低位向本位的进位输入 ,有一组二进制输出 ,一个最高位的进位输出,改器件所完成的4位二进制加法如图所示。
由于S是二进制的,所以最后取S的后四位加6就好。但是为防止S的后四位加6,仍然大于10,故先用S1等于S的后四位加6,然后再取S1的后四位。
附代码:
library ieee;
use fhomework1 is
port(a,b:in std_logic_vector(3 downto 0);
c:outbit;
………… …………
17 1,0001
虽产生了进位,但本位和不正确,若加6修正
1,0001
+ 0110
…生错误的原因是8421BCD码为十进制,逢十进一,而四位二进制数是逢十六进一,故二者进位关系不同。其中刚好相差6,故需加6进行修正。

项目三 BCD加法器设计与制作

项目三 BCD加法器设计与制作

项目三BCD加法器设计与制作项目要求二进制加法器可以实现二进制数的加法运算,其结果也是二进制的结果。

但是在数字设备中,经常使用8421BCD码进行运算,此时使用的运算器也是二进制加法器,结果有时会出现错误,这是因为结果是二进制的而不是8421BCD码的。

请设计电路,用二进制加法器实现两个8421BCD码的加法运算并能将结果调整为正确结果。

项目目标:项目分三个任务进行实施,通过本项目的实施,达到如下目标:1.会用门电路、最小项译码器设计一位全加器并进行仿真。

2.会用一位全加器构成多位二进制加法器并仿真功能。

3.能认识集成加法器并能正确使用。

4.能正确区分二进制加法与BCD加法的关系。

5. 能对二进制加法的结果进行BCD调整并能仿真测试电路功能。

6.能制作出电路或在实训台上搭建电路,并进行验证和测试。

任务一:一位二进制加法器的设计与仿真⏹技能目标1.会用门电路、最小项译码器设计一位全加器。

2.会用仿真软件对全加器功能进行仿真。

3.会进行二进制加法运算。

⏹知识目标1.掌握半加器的基本功能2.掌握全加器的基本特点和功能3.掌握由门电路设计全加器的方法。

4.掌握由译码器设计全加器的方法。

⏹实践活动与指导教师先引导学生讨论二进制的加法,并进行半加器的设计和仿真,在此基础上引入全加器的概念并引导学生进行设计和仿真。

全加器的设计和采用门电路和最小项译码器进行。

⏹知识链接与扩展加法器是数字系统中运算的基础,在计算机中,加、减、乘、除等四则运算都可以按照一定的算法规则转换成加法运算来完成。

而任何复杂的加法器中,最基本的又是半加器和全加器。

一、半加器的设计一位二进制数相加,若只考虑两个加数本身,而不考虑来自相邻低位的进位,如图 3.1.1(a)所示,称为半加,实现半加运算功能的电路称为半加器。

根据加法法则可列出半加器的真值表如图3.1.1(b)所示,半加器的逻辑图和逻辑符号如图3.1.1(c)所示。

图 3.1.1 半加器的相关图由真值表可得出半加器的逻辑表达式:对于半加器的功能,可以使用图3.1.2所示电路进行仿真。

数字电路课程设计之BCD加法器设计

数字电路课程设计之BCD加法器设计
B1 A1
1
3
P3
2
1
3
G3
2
1
3
P2
2
1
3
G2
2
1
3
P1
2
1
3
G1
2
B0
1
3
P0
A0
2
1
3
G0
2
1 3
2
1
3
C1
2
P3
1
C3
2
3
S3
P2
1
U?
3
S2
P3 G3 P2 G2 P1 G1 P0 G0 C0
1 2 3 4 5 6 7 8 9
P3 G3
超 前
C4
P2 进 C3
G2 位
P1 产 C2
G1 P0 G0
wire k,D,C;
carry_look_add U1 (.A(A),.B(B),.Cin(Cin),.Cout(k),.S(w));
assign C=k|(w[3]&w[2])|(w[3]&w[1]);
assign w1[0]=0&1;
assign w1[1]=C|0;
assign w1[2]=C|0;
for(j=0;j<10;j=j+1) begin for(k=0;k<2;k=k+1) begin #10; A=i; B=j; Cin=k;#10; if((C*10+S)!=(i+j+k)) begin error=error+1; end end
end end #100 ; $stop; end endmodule

数字电路课程设计之BCD加法器设计

数字电路课程设计之BCD加法器设计

注意 C4 并不需要等待 C3,实际上 C4,C3,C2,C1 同时传输 超前进位产生的电路逻辑图
2
3
4
1
5
6
2 3
1 4 5
2
3
1
4
1
3
P3
2
G3
2 3
1 4 5
2
3
1
4
1
3
P2
2
G2
2
3
4
1
C4
5
6
2
3
1
C3
4
5
2
3
1
4
1
3
P1
2
G1
2
3
1
C2
4
P0
G0 C0
带超前进位的四位加法器
B3 A3
B2 A2
guan
tian liang
参考文献: 1.数字设计与 Verilog 实现 M.Morris Mano 著 第五版
Half_Add H1(.a(A[0]),.b(B[0]),.s(v2),.c(v1));
Half_Add H2(.a(A[1]),.b(B[1]),.s(v4),.c(v3));
Half_Add H3(.a(A[2]),.b(B[2]),.s(v6),.c(v5));
Half_Add H4(.a(A[3]),.b(B[3]),.s(v8),.c(v7));
制数中的 0~9 这 10 个数码。是一种二进制的数字编码形式,用二进制编码的十进制代码。8421 BCD 码是
最基本和最常用的 BCD 码,它和四位自然二进制码相似,各位的权值为 8、4、2、1,故称为有权 BCD 码。

常用组合逻辑功能器件6

常用组合逻辑功能器件6

☆用两片74148组成16线-4线优先编码器。I15优先权最高。
按照优先顺序的要求:
当I15-I8均无输入信号时,才允许I7-I0的输入信号进行编码
因此,只要将第(2)片的EO与第(2)片的EI相连 即可。
用二片74148构成16线-4线优先编码器如图所示,试分析其工 作原理。
I0 I1 I2 I3 I4 I5 I6 I7
(3)画逻辑图(略)
3、集成优先编码器74148
两种常用的集成电路优先编码器74147和74148,它们 都有TTL和CMOS( 74HC147、74HC148 )的定型产 品。74147和74HC147,74148和74HC148在逻辑功能 上没有区别,只是电性能参数不同。
74148逻辑图
0
8个信
编码器:具有编码功能的逻辑电路。
在二值逻辑电路中,信号都以高电平或低电平的形式给出, 因此编码器的逻辑功能就是将输入的每一个高、低电平信号 变成一个对应的二进制代码。
十个按键
S0
0 S1
1
S2 2
S3 3
S4 4
S5 5
S6 6
S7 7
S8 8
S9 9
键盘输入8421 BCD码编码器
VCC 1kΩ ×10
C
D
GS
DCBA=0000-0111(I15-I8的编码输出) GS=0
用二片74148构成16线-4线优先编码器如图所示,试分析其工 作原理。
有编码请求
I0 I1 I2 I3 I4 I5 I6 I7
无编码请求
I8 I9 I10 I11 I12 I13 I14 I15
EO
允许编码 EO1
74148 (Ⅰ)
人们为解决实践上遇到的各种逻辑问题,设计 了许多逻辑电路。然而,我们发现,其中有些逻辑 电路经常、大量出现在各种数字系统当中。为了方 便使用,各厂家已经把这些逻辑电路制造成中规模 集成的组合逻辑电路产品。

四位加法器设计8421BCD码加法器

四位加法器设计8421BCD码加法器

加法器与译码器显示器的应用
一、实验目的
用一片四位全加器74LS83和门电路设计一位8421BCD码加法器。

要求如下
1、加法器输出的和数也为8421BCD码。

2、画出逻辑图,写出设计步骤。

3、用LED数码管显示和数。

二、实验器材:
一片四位全加器74LS83、两片与非门74LS00、一片BCD-七段显示译码器74LS48、一片共阴极LED管、七个单刀单掷开关,七个20欧姆的电阻和5个1k欧姆的电阻。

三、实验原理,
由于一位8421BCD数A加一位数B有0到18这十九种结果。

而且由于显示的关系当大于9的时候要加六(0110)转换才能正常显示,当数字大于15(1111)时,也要进位,真值表如图
Co A4 A3 A2 A1
10 0 1 0 1 0
11 0 1 0 1 1
12 0 1 1 0 0
13 0 1 1 0 1
14 0 1 1 1 0
15 0 1 1 1 1
16 1 0 0 0 0
17 1 0 0 0 1
18 1 0 0 1 0
由真值表得,进位Y=CO+A3A4+A2A4.
由进位逻辑函数式画出与非门的逻辑图用两片74LS00代替六个与非门如图,四、实验步骤。

(1)、如图连线
(2)接上电源并测试。

(3)查看是否与数A加数B的结果符合
五、实验结论:
如果想用两个数码管显示两位数则加一个74LS48和LED数码管即可,如图。

8421BCD码加法器报告1

8421BCD码加法器报告1

8421BCD码加法器的报告◆实验目的1、分析8421BCD加法器的基本电路结构2、检测8421BCD加法器的逻辑功能。

◆设计任务及要求1、利用两片4位二进制全加器4008和必要的门电路设计一个8421BCD码加法器;2、画出电路原理图(或仿真电路图);3、元器件及参数选择;4、电路仿真与调试;5、制作要求自行装配和调试,并能发现问题和解决问题.6、编写设计报告写出设计与制作的全过程◆实验原理及原理图实验原理在8421 BCD码中,1位十进制数0—9可用四位二进制数0000—1001表示,而1010—1111这6个数为无效数。

多位数加法器可用来进行两个多位二进制数的相加。

当两个二进制数相加时,如果和数大于1001,就必须进行调整。

调整的基本方法是将和数加0110并产生一个进位数,这样便可跳过1010—1111这6个无效数。

如果和数不大于1001,则将和数加0000,这就不会产生进位数,等于不调整。

加法器由半加器和全加器组成,它们是算术运算电路中的基本单元,它们还是完成1位二进制数相加的一种组合逻辑电路。

这种8421BCD码加法器就是应用全加器及一些门电路组成的。

原理图实验内容1、在Multisim平台上建立8421BCD加法器电路,单击仿真开关运行动态分析。

2、用逻辑开关在BCD加法器的输入端A3—A和B3—B输入8421 BCD二进制数0111和0010,观察逻辑探头的明暗变化,确定加法器的输出结果,并与手工计算情况比较,包括二进制数和十进制数。

3、用逻辑开关给加法器输入1001和0110,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。

4、用逻辑开关给加法器输入1001和1000,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。

5、用逻辑开关给加法器输入0111和0101,观察逻辑探头的明暗变化,比较“机算”和“手算”的结果,包括二进制数和十进制数。

四位二进制8421BCD码加法器

四位二进制8421BCD码加法器

课程设计报告设计题目:四位二进制8421BCD码加法器学院: 理学院专业: 09电子信息科学与技术班级: 1班学号: 200931120102; 200931120103; 200931120105 姓名:陈俊宇陈明源邓坤勇电子邮件: 1205335255@qq。

com 时间: 2011年12月8日成绩:指导教师: 刘丹华南农业大学理学院应用物理系课程设计(报告)任务书题目四位二进制加法器任务与要求:运用电子器件和一些IC芯片设计一个四位二进制8421BCD码加法器。

用以实现两个四位二进制数8421BCD码的加法通过数码管显示相加所得的两位十进制数。

用八个开关的开闭控制电平的高低,用高电平表示1;用低电平表示0。

将输入的高电平接入74LS283加法器进行运算。

得到的结果,分别将高低位输入74LS248译码器输出到两个七段数码管.由数码管显示加法结果得到的BCD码。

学会数字信号芯片的原理和在实际中的应用.开始时间:2011年12月1日;结束时间:2011年12月13日四位二进制8421BCD码加法器学生:陈俊宇,陈明源,邓坤勇;指导老师:刘丹摘要:本设计通过八个开关将A3,A2,A1,A0和B3,B2,B1,B0信号作为加数和被加数输入四位串行进位加法器相加,将输出信号S3,S2,S1,S0和向高位的进位C3各自分别通过一个 74LS248译码器,最后分别通过数码管实现二位BCD码显示。

关键词:加法器,译码器,数码管,BCD码显示。

Abstract: the design through eight switch will A3, A2, A1, A0 and B3, B2, B1, B0 signal as addend and BeiJiaShu input four serial carry adder addition, will output signal S3, S2, S1, to carry high and S0 C3 their respective through a 74 LS248 decoder, finally, through the digital tube realize two BCD display。

两位8421bcd码转换成二进制码电路设计

两位8421bcd码转换成二进制码电路设计

两位8421bcd码转换成二进制码电路设计一、背景知识介绍在数字电路中,8421BCD码是一种常用的二进制编码方式,它将十进制数转换为4位二进制数(BCD码),其中每个二进制数的范围为0000-1001。

而二进制码是一种基于2进制的编码方式,将十进制数转换为由0和1组成的串。

在实际应用中,我们需要将8421BCD码转换为二进制码,因此需要设计一个电路来实现这个功能。

二、设计思路我们可以使用逻辑门电路来实现8421BCD码到二进制码的转换。

具体来说,我们可以将8421BCD码分解成4个位上的数字,然后使用逻辑门对每个数字进行处理,并将结果组合起来得到最终的二进制码。

三、具体实现方法1. 分解8421BCD码首先需要将输入的8421BCD码分解成4个位上的数字。

假设输入信号为A3A2A1A0,则可以使用四个单独的与门来实现这个过程。

例如,在第一个与门中,我们将输入信号与0b1000进行与运算(b表示二进制),这样就可以得到A3位上的数字。

同理,在第二个与门中,我们将输入信号与0b0100进行与运算,得到A2位上的数字;在第三个与门中,我们将输入信号与0b0010进行与运算,得到A1位上的数字;在第四个与门中,我们将输入信号与0b0001进行与运算,得到A0位上的数字。

2. 将BCD码转换为二进制码接下来需要将每个BCD码转换为二进制码。

这里可以使用一个4位加法器来实现。

对于每个BCD码,我们需要使用逻辑门将其转换为对应的二进制数。

例如,对于A3位上的数字,如果它是5(0101),那么我们需要将它转换为0101(5的二进制表示)。

这可以通过一个4输入的或门来实现。

具体来说,在或门中,我们需要设置4个输入端口分别对应于0001、0010、0100和1000(即十进制数1、2、4和8)。

如果A3位上的数字是5,则只有0010和0101两个端口会输出高电平。

因此,在这种情况下,或门的输出信号就是0101。

类似地,对于A2、A1和A0位上的数字也可以使用类似的方法进行转换。

两位8421bcd码转换二进制数电路的设计与实现

两位8421bcd码转换二进制数电路的设计与实现

两位8421bcd码转换二进制数电路的设计与实现8421BCD码是一种将十进制数转换成二进制数的编码方式。

它是一种将10进制数转换成二进制数的方式,其中4个二进制数代表一个十进制数。

该编码方式在数字显示电路中被广泛使用,如数码管和LED 显示屏等。

在这里,我们将要讨论的是8421BCD码转换成二进制数的电路设计和实现。

下面,我们将分为两个部分进行介绍,首先是8421BCD码的概述和转换原理以及通过组合逻辑电路实现8421BCD码转换成二进制码的方法。

一、8421BCD码概述和转换原理8421BCD码是用4位二进制码表示一个十进制数的编码方式。

这种编码方式是一种自然的、直观的编码方式,因此得到广泛应用。

8421BCD码的原理是将十进制数每一位分别转换成4位二进制数,其中每一位的二进制数都可以由0000、0001、0010、0011、0100、0101、0110、0111、1000、1001这10个数字表示。

下面是一个将十进制数转换成8421BCD码的例子:十进制数:538421BCD码:0101 0011二进制数:0011 0101二进制数是8421BCD码的实质表现,在设计8421BCD码转换成二进制数的电路时,需要在理解8421BCD码和二进制数的转换的基础之上,才能较好的进行电路设计和实现。

二、8421BCD码转换成二进制电路的设计和实现转换8421BCD码到二进制通常使用组合逻辑电路。

组合逻辑电路的输入和输出只不过是分别由逻辑门所组成的逻辑电路,没有电源外加。

下面我们将通过一个典型的8421BCD码转换成二进制电路的例子,来探讨如何将8421BCD码转换成二进制数的电路设计和实现。

1. 8421BCD码转换成二进制数的原理8421BCD码转换成二进制数的原理是将8421BCD码的每一位都转换成二进制数,然后将这些二进制数叠加在一起,得到一个完整的二进制数。

2. 8421BCD码转换成二进制数的电路实现8421BCD码转换成二进制数的电路实现,是通过将每一位8421BCD 码都转换成二进制数,然后将这些二进制数加起来,得到最终的二进制数。

8421BCD码加法器

8421BCD码加法器

卓越工程师班第一次大作业用四位全加器构成 一位BCD 码加法器班级:001111作者:00111116 江新远实现方式一:器件 一、 问题用四位二进制全加器74LS283构成一位8421BCD 码加法电路二、74LS283介绍74LS283是TTL 双极型并行4位全加器,,特点是先行禁卫,因此运算速度很快,其外形为双列直插。

它有两组4位二进制数输入,一位低位向本位的进位输入 ,有一组二进制输出 ,一个最高位的进位输出,改器件所完成的4位二进制加法如图所示。

4321A A A A 4321B B B B 1C 4321S S S S三、解决思路用四位全加器构成一位8421BCD码的加法电路。

两位8421BCD码相加,其和仍应为8421BCD码,如不是8421BCD码则结果错误。

①若和小于等于9结果正确,如4 0100+ 3 →+ 0011……………………7 01110111是8421BCD码的7,结果正确。

②若和大于9则结果错误,若要得到正确结果,则需加6(011)修正。

如6 0110+ 7 →+ 0111………… ………… 13 10111101在8421BCD 码中是非法码,结果错误,如果加6修正后,则产生了进位信号,且本位1101+ 0110 ………… 1,0011“0011”也是正确的。

③ 若和产生进位,则结果错误,也需加6修正。

如 8 1000+ 9 → + 1001………… ………… 17 1,0001虽产生了进位,但本位和不正确,若加6修正 1,0001+ 0110 ………… 1,0111得到正确结果。

产生错误的原因是8421BCD 码为十进制,逢十进一,而四位二进制数是逢十六进一,故二者进位关系不同。

其中刚好相差6,故需加6进行修正。

这样,构成两个一位8421BCD 相加时,必须由三部分组成:一部分进行加数和被加数相加;第二部分是修正判别,判别是否要加以修正,即产生修正控制信号;第三部分完成加6修正。

八位二进制加法器

八位二进制加法器
运用74185实现九位二进制数BCD码的转化原理图:
第三章系统综述、总体电路图
1、系统综述:
加法电路是计算机电路中最基本的电路部分,在本次设计中,我们设计的是八位二进制相加和三位十进制相加并在数码管显示,我们采用74LS283来实现最基本的加法电路模块,再经过补充和修改加法电路,最终能实现本课题所要求
1
0 1
1
1 0
1
1 1
0
7404芯片
功能表管脚图
输入(A)
输出(B)
0
1
1
0
逻辑符号
7408芯片
功能表管脚图逻辑符号
输入
输出
A B
C
0 0
0
0 1
0
1 0
0
1 1
1
7410芯片
功能表管脚图逻辑符号
74133芯片
功能表
管脚图逻辑符号
74161
功能表管脚图
CP
RD
LD
EP
ET
D
C
B
A
QD
QC
QB
QA
x
选择74LS283超前进位并行加法器的组合形成8421BCD码加法器
编码器与74LS283加法器相接,通过8421BCD码加法器串接可以计算三位十进制数的加法运算,输出结果通过数码管显示
2、总体设计方案论证及选择
加法器方案设计
方案一:
当有多位数相加,则可采用并行相加串行进位的方式来完成。例如,有两个4位二进制数A3A2A1A0和B3B2B1B0相加,可以采用两片内含两个全加器或1片内含4个全加器的集成电路组成,其原理图如下图所示:
设计要求:
1.八位二进制加数与被加数的输入

实验BCD码加法器

实验BCD码加法器

实验二 文本输入方式设计数字逻辑电路一、实验目的:1、 掌握VHDL 语言的基本语法和设计文件的基本结构。

2、 掌握组合逻辑电路的特性及设计和调试方法。

3、 掌握时序逻辑电路的特性及设计和调试方法。

4、 掌握常用的组合逻辑电路和时序逻辑电路的设计方法。

二、实验的硬件要求:1、 EDA/SOPC 实验箱。

2、 计算机。

三、实验原理数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。

组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。

时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。

1、组合逻辑电路①组合逻辑电路的定义通常组合逻辑电路可以用图1.1所示结构来描述。

其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。

输入和输出之间的逻辑函数关系可用式1.1表示: ②组合逻辑电路的设计方法组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。

理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。

在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。

设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。

组合电路的基本设计步骤可用图1.2来表示。

③组合逻辑电路的特点及设计时的注意事项a)组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。

(实际电路中图 2.1 组合逻辑电路框图L0=F0(X0,X1,···Xn) · · ·Lm=F0(X0,X1,···Xn)(1.1)图 2.2 组合电路设计步骤示意图图还要考虑器件和导线产生的延时)。

数电(加法器应用)

数电(加法器应用)

3.4.4 加法器应用举例例 3.4.6 试用全加器实现8421BCD码到余三码的转换。

解:知余三码等于8421BCD码加3,由此得到:所以用一片4位加法器74LS283便可接成所要求的电路,如图3.3.17。

例 3.4.7 试采用四位全加器完成8421BCD码到余3 代码的转换。

解由于8421BCD码加0011 即为余3 代码,所以其转换电路就是一个加法电路,如图 3.3.18 所示。

例 3.4.8 试用全加器完成二进制的乘法功能。

解以两个二进制数相乘为例。

乘法算式如下:例 3.4.9 试用四位全加器构成一位8421 码的加法电路。

解两个8421 码相加,其和仍应为8421 码,如不是8421 码则结果错误。

如产生错误的原因是8421BCD码为十进制,逢十进一,而四位二进制是逢十六进一,二者进位关系不同,当和数大于9 时,8421BCD应产生进位,而十六进制还不可能产生进位。

为此,应对结果进行修正。

当运算结果小于等于9 时,不需修正或加“0”,但当结果大于9 时,应修正让其产生一个进位,加0110即可。

如上述后两种情况:故修正电路应含一个判9 电路,当和数大于9 时对结果加0110,小于等于9 时加0000。

除了上述大于9 时的情况外,如相加结果产生了进位位,其结果必定大于9,所以大于9 的条件为例 3.4.10 用全加器实现BCD/B的变换。

解现以两位8421BCD码转换为二进制码为例,设十位数的8421BCD码为B80, B40, B20, B10,个位数的BCD码为B8, B4, B2, B1,则两位十进制数的8421BCD码为式中B为二进制的数符(0,1);下标为权值。

将上式按权展开,则为找出与二进制数的关系将上式整理得考虑低位相加时会向高位产生进位位,2#+n前的系数有如下关系:3.4.5 数值比较器应用举例例 3.4.11 试用两片CC14585组成一个8位数值比较器。

解:将两片四位比较器扩展为八位比较器。

BCD加法仿真

BCD加法仿真

仿真报告一、用7483实现8421BCD 加法用BCD 码表示十进制数相加会产生进位,最大为18,产生最大进位(即十位)为1,所以相加后的和用C 、3S 、2S 、1S 、0S 表示,其中C 为用BCD 码表示的十位,3S 、2S 、1S 、0S 为用BCD 码表示的个位,分别对比用二进制表示的和用BCD 码表示的十进制数1-18经过十进制数在0~9时,二进制表示和BCD 表示相同,当十进制数在10~18之间时,二进制数加上2)0110(即可转换成相应的BCD 码,同时要产生一个进位C ,由上表,可以发现产生进位C 的条件:(1)'C =1(2)'1'2'4'8,,,S S S S 在1010到1111之间 条件(2)经卡诺图化简后,综合条件一得:'2'8'4'8'S S S S C C ++=同时还可以通过是否产生进位来控制是否加2)0110( 当产生进位要加2)0110(,没有进位时加2)0000( Multisim 仿真,通过键盘控制开关,控制高低电平输入 BCD 码。

其中输入模块内部电路可以防止非BCD 码的输入,即输入1010----1111时为无效输入,显示为0封装图如下:输入模块内部电路:加法器内部电路:二、两位BCD 码表示的十进制转换为二进制十进制数的十位数的BCD 码为10204080D D D D ,个位数的BCD 码为1248D D D D ,则十进制数可表示为:=10)(N 10204080D D D D 1248D D D D按权值展开:=10)(N 24810204080124810204080⨯+⨯+⨯+⨯+⨯+⨯+⨯+⨯D D D D D D D D=1248)28()416()832()1664(124810204080⨯+⨯+⨯+⨯++⨯++⨯++⨯++⨯D D D D D D D D=1121024203810404208054068022)(2)(2)(2)(22⨯+⨯++⨯++⨯+++⨯++⨯+⨯D D D D D D D D D D D D按照以上式子的原理使用74283加法器即可实现十进制与二进制的转换: Multisim 封装:输入电路与上面的输入电路内部形式完全相同。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

电子线路课程设计(报告)题目8421BCD码加法器
院系物理与电子工程学院专业光电信息科学与工程
班级Z052132 学号052513212
学生姓名李晓刚
指导教师徐竞
日期2015年6月
目录
一题目要求与方案论证 (1)
1.1 8421BCD码加法器 (1)
1.1.1题目要求 (1)
1.1.2 方案论证 (1)
二电子线路设计与实现 (3)
2.1 8421BCD码加法器的设计 (3)
三结果与分析 (8)
3.1 8421BCD码加法器的实现 (8)
四总结与体会 (10)
参考文献 (11)
附录 (12)
一题目要求与方案论证
1.1 8421BCD码加法器
1.1.1题目要求
通过开关J1~J8分别设置2个4位8421BCD码输入,通过全加器,实现相加后的输出,结果采用数码管观察。

主要芯片:2个全加器(4008BD)、开关、数码管(2个),其他元件、门电路任选
1.1.2 方案论证
表1.1 4008BD功能表
表1.2 74HC85AD的真值表
表1.3 74LS48功能表
由真值表可知:两个四位8421BCD码通过4008BD全加器相加后得到的是一个四位的8421码或五位的168421码,故不能直接通过两个数码管来显示出运算结果,所以要把计算结果转换为10进制,然后将个位和十位的数字分别由对应的8421BCD码通过译码器芯片74LS48,把运算结果用数码管显示出来。

如下表所示:
表1.4 数制转换
二电子线路设计与实现
2.1 8421BCD码加法器的设计
一、数据的产生与输入
通过J1~J8八个单刀双掷开关在+5V和GND之间的切换来产生两个4位8421BCD码
作为输入的数据,当开关打到+5V时输入数据1,打到GND时输入数据0。

其中J1~J4分别为数据A0~A3,J5~J8分别为数据B0~B3,且A3~A0、B3~B0的位权依次降低。

其电路图如下所示:
图2.1.1 数据产生电路
二、加法电路
把上面得到的两个四位8421BCD码分别输入4008BD全加器的输入端A3~A0、B3~B0,同时CIN输入端接低电平。

则S3~S0输出计算结果,COUT为进位输出端。

三、转换电路
转换电路基本原理:当结果<=9时,无需转换可以直接输入显示译码器后通过数码管显示出。

当运算10<=结果<=15时,必须通过转换电路来实现数值转换,考虑到全加器要到16才能进位,而显示时则要求到十句进位,所以要在通过一个4008全加器将计算结果加
上六,使其在结果为10时就开始进位,又因为计算结果小于9时不能加六,所以通过一个四位数值比较器74HC85AD将计算结果与事先设定的数9进行比较,当结果大于九时则给第二片全加器的A3~A0输入数据6,当计算结果不大于9时则给第二片全加器的A3~A0输入数据0,即不做变化。

同理,当结果16<=结果<=19,20<=结果<=25,26<=结果<=29,结果=30时,再添加若干个全加器和数值比较器即可实现功能。

图2.1.2转换电路图
四、显示电路
在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,数字显示电路通常由译码驱动器和显示器等部分组成。

数码显示器就是用来显示数字、文字或符号的器件。

普遍使用的七段数字显示器发光二极管器件有发光二极管和液晶显示器。

发光二极管构成的七段显示器有两种,共阴极和共阳极电路。

共阴极电路中,七个发光二极管的阴极连在一起接低电平,需要某一段发光,就将相应二极管的阳极接高电平。

共阳极显示器的驱动则刚好相反。

为了使数码管能显示十进制数,必须将输入的8421码经译码器译出,然后经驱动器点亮对应的段。

显示个位的数码管的译码器芯片74LS48输入端与最后一个全加器的输出端相连接。

显示十位的数码管的译码器芯片74LS48输入端C、D脚接地,A、B脚的真值表如下表所示。

(表中的LED1,LED2,LED3,LED4分别对应仿真电路图中相应位置)
根据卡诺图,可以得到A、B的表达式:
LED
LED
LED
A+
=
+
LED LED
LED
3
*
4LED
*
4
2
2
*1
*1
B+
=
LED
3LED
LED
2
*1
图2.1.3转换电路图
三结果与分析3.1 8421BCD码加法器的实现
虽然本电路很好的实现了0-30的加法运算,但是电路图过于复杂,仍然需要进一步优化电路设计,减少元器件的使用,使电路更加简洁明了。

四总结与体会
数电课程设计就要结束了,在这次的数电课程设计中我学到了太多的东西。

首先是对Mutisim 11.0这个软件有了更加深入的了解,为以后的的学习和电路的设计打下了基础,找到了工具。

还有就是对一些集成块的使用更加熟练,比如全加器、数值比较器、显示译码器等,对数码显示管也有了深入的了解。

除此之外,就是对设计电路的一般过程有了更加清楚的步骤感。

因为设计过程中遇到了不少困难,比如一开始的做的模拟的加法器只能计算结果20以内的,后来发现是少加了好几个芯片致使不能完成指定的运行结果。

有的知识点课上没有学到过需要到网上或者书上去查找资料。

因此对于检索和收集信息的能力也有所提高。

最后,因为是四个人一组共同完成一个题目,所以除学到知识外还学到了与他人合作的团队精神。

总之,这次课程设计让我受益匪浅!
参考文献
[1]康华光.《电子技术基础数字部分(第五版)》[m]北京:高等教育出版社,2005
[2]李桂安.《电工电子实践初步》[m]南京:东南大学出版社,1999
[3]王橙非.《电路与数字逻辑设计实践》[m]南京:东南大学出版社,2002
[4]黄正瑾.《电子设计竞赛题解析南京》[m]南京:东南大学出版社,2003
附录元件清单:。

相关文档
最新文档