SOPC系统设计实例

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

SOPC系统设计实例

SOPC系统设计实例 (1)

1 SOPC系统设计流程 (1)

2 SOPC 系统设计实例 (2)

1.建立工程 (3)

2.建立顶层设计文件 (10)

3.用SOPC Builder建立一个新的SOPC硬件系统 (12)

4.向系统中添加Nios II处理器 (14)

5.添加片上存储器 (20)

6.添加JTAG UART (22)

7.添加定时器 (24)

8.添加自定义组件七段数码管控制器 (25)

9.自动设置基地址 (34)

10.加入System ID模块 (34)

11.生成系统 (35)

12.例化Nios II处理器 (37)

13.导入引脚分配 (38)

14.编译并下载设计 (40)

15.启动Nios II IDE (41)

16.建立新工程 (41)

17.修改系统库属性 (45)

18.修改代码 (46)

19.编译并运行工程 (48)

1 SOPC系统设计流程

SOPC系统的设计流程如图1所示。设计者首先根据任务要求决定系统需求,然后用SOPC Builder建立自己的SOPC系统,完成这项工作之后,硬件工程师和软件工程师可以开始协同工作。硬件工程师首先建立一个顶层设计文件,将生成的SOPC系统例化,并设置引脚分配,时序要求及其他设计约束,,然后编译硬件设计并将FPGA设计下载到目标板中。在硬件工程师工作的同时,软件工程师可以用Nios II IDE开发应用软件,并在Nios II IDE中使用Nios II 指令仿真器(ISS)运行并调试软件,等硬件工程师把硬件设计下载到目标板中之后,软件工程师将可执行软件下载到目标板上的Nios II 系统中,并在目标板上运行调试软件,如果发现软件设计不满足设计要求,则再改进硬件和软件的设计。

图1 SOPC系统设计流程

2 SOPC 系统设计实例

用SOPC系统在DE2平台上实现一个计数器。先在DE2平台上建立SOPC 系统的硬件,这个系统包括一个NIos II/s 嵌入式处理器、存储器、一个JTAG UART及一个定时器,一个ID模块。另外,我们将加入一个自定义组件,实现

对DE2平台上七段数码管的控制。

1.建立工程

启动Quartus II软件,用New>New Project Wizard…菜单在Quartus II中新建一个工程,本例中将工程的工作目录设定在G:\DE2 ,工程的名称为niosii,如图4所示,如果是希望使用自己已有的工程,则点击图4中所示的Using Existing Project Setting按钮,,但是在本例中不需要添加已有工程的配置。按下next后,器件中选择EP2C35F672C6,向导完成后的汇总界面如图8所示。

图2 Quartus II 启动界面

图3

图4

图5

图6

图7

图8

图9

2.建立顶层设计文件

建立工程之后,需要为工程建立一个顶层设计文件,这个设计文件的名称应该与工程的名称完全一致,设计文件可以是Quartus II允许的各种设计输入格式的文件,如V erilog HDL、VHDL、AHDL及原理图设计文件等。在本例中使用原理图设计文件。

用File>New菜单建立一个新文件,选择Block Diagram/Schematic File文件,

文件名称与工程名称保持一致,为niosii。保存新建的文件。

图10

图11

3.用SOPC Builder建立一个新的SOPC硬件系统

图13所示,输入新系统的名称为nios_ii_system,当然也可以输入其他名称,但是应该注意,所有的名称中都不能出现空格。Target HDL选项可以选择V erilog,也可以选择VHDL,这里选择V erilog。按OK按钮,进入到SOPC Builder的主界

面,如图15所示。

图13

图14

图15

4.向系统中添加Nios II处理器

在SOPC Builder左侧的组件列表中,选择Nios II Processor,,按鼠标右键,在弹出的菜单中选择Add New Nios II Processor , 显示如图17所示的Nios II处理器的配置界面。选择Nios II/s作为本设计的处理器按下Next按钮,设置处理器的指令缓存和紧密耦合指令存储器,如图18所示,选择指令缓存为2 K字节,不使用紧密耦合指令存储器。

按下Next 按钮,设置JTAG调试模块,JTAG调试模块分为四个级别,每个级别的功能不同,占用的逻辑资源也不相同,在本设计中选择占用逻辑资源最少的级别Level 1,如图21所示

按下Next按钮可以添加自定义指令,在本例中不添加自定义指令,按Finish

按钮结束Nios II控制器的设置。

图16

图17

图18

图19

图20

图21

图22

图23

5.添加片上存储器

在SOPC Builder主界面左侧的组件列表Memory组中,选中On-Chip Memory(RAM or ROM),按鼠标右键,在弹出的菜单中选择Add New On-Chip Memory(RAM or ROM),显示如图25所示的片上存储器的配置界面。选择存储类型为RAM,存储器宽度为32位,总内存尺寸为40 K字节。单击Finish 按钮完成片上存储器的配置。

图24

图25

相关文档
最新文档