医院病人呼叫系统 简单电路

合集下载

病房呼叫系统(数字逻辑电路)

病房呼叫系统(数字逻辑电路)

引言本设计的主要目的是实现一个当医院发生紧急情况时,病房之中又有多个病人需要护理的一个优先级别的电路。

用于医院病房需要呼叫具有优先级别的呼叫系统。

当有病人进行呼叫时,系统会自动先处理具有优先级别的病房的编号,同时产生光信号和5秒钟的声音信号。

另外在产生信号的同时系统会显示呼叫病人的病房编号。

这样医护人员可以根据呼叫信号的优先级别及时对每一位呼叫病人进行救治。

其中,医院可以对病人的病情的进行编号,病情最严重者具有最高级别的优先权,依次降低。

此呼叫系统的使用能让医院出现紧急状况时临危不乱,不仅使医护人员能够对病人的病情有一定的了解,还能对病人的突发情况进行及时有效治疗,让救护工作紧张有序的进行。

同时,医院可以在有本系统的情况下,更加合理有效的安排值班的医护人员,为医院节省大量人力、物力、财力。

本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。

由呼叫信号的输入,74LS148优先编码,由74LS48译码显示和逻辑控制清除几部分构成,其核心在74LS148优先编码器。

本题目选择的目的是为了更好的为病人进行护理工作,对病情严重优先处理。

本设计主要是采用数字、模拟电路的一些基础原件来完成,结构简单,安装方便,价格低廉来完成病房紧急呼叫系统,当有多个呼叫时,病情严重的优先。

此设计能让普通的乡村医院使用,价格便宜,节约人力、财力资源,能更好的体现医院的本质---救死扶伤,为人民大众服务。

1 课程设计总思路根据数字电路知识,利用优先编码器、显示译码器和555,74LS48,74LS30等电路元件实现各项1.1 设计题目病房呼叫系统。

1.2 设计要求(1).用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;(2).用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);(3).凡有呼叫发出5秒的呼叫声;(4).对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

病房呼叫系统电路设计

病房呼叫系统电路设计

电子技术课程设计报告书课题名称 病床呼叫系统电路设计姓 名 ***** 学 号 ******* 院、系、部 ********* 专 业 电子信息工程指导教师**********年*月 *日※※※※※※※※※ ※※ ※※ ※※ ※※※※※※※※※****级电子信息工程专业电子技术课程设计病房呼叫系统电路设计1设计目的(1)熟悉模拟电路的应用与集成电路的引脚排列。

(2)掌握常用芯片的逻辑功能及使用方法。

(3)熟悉电路仿真软件Multisim 11.0使用。

(4)了解优先编码器、555定时器的工作原理,以及芯片的组合。

(5)熟悉病床呼叫系统的设计及其应用。

2设计思路(1)设计优先编码器的电路。

(2)设计555定时器的电路。

3设计过程3.1方案论证图3.1 总体方框图3.2设计要求(1)设置开关K1-K5为病房呼叫开关。

(2)用LED指示灯显示病房的呼叫。

(3)当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且扬声器发出警报5秒的呼叫声,LED灯亮5秒。

3.3设计原理(1)由于病房呼叫拥有优先级的限制,所以呼叫时应区分呼叫优先级,这时就会用到优先编码器对呼叫进行优先级的编码,确保多个病房同时呼叫时,优先级最高的病房首先得到相应。

(2)其次,当病房发出呼叫请求时,为了方便医护人员及时对病人进行救治,电路应该拥有显示病房号的功能,这就需要用到数字显示器对相应的病房呼叫做出显示输出。

(3)再次,为了提高呼叫响应成功率,电路还应拥有音频提示功能,即当有呼叫请求发出时要发出警报声。

3.4单元模块设计 1.总电路I n p u t图3.2 总电路图其工作原理如下:接通电源,当病房发出呼叫请求时,病人按下开关,电路中产生一个相应信号并在护士值班室中的数码管上显示出来该病人的床位,同时定时器上的扬声器发出5秒的呼叫声,当多个病人进行同时呼叫时,这时通过74LS147D 优先编码器把优先级别最高的信号输出在数码管上,扬声器发出5秒的呼叫声。

医院病人紧急呼叫系统

医院病人紧急呼叫系统

医院病人紧急呼叫系统目录第1章设计总体思路 (1)一、设计要求 (1)二、基本原理 (1)三、系统框图 (2)四、总电路图 (2)第2章单元电路设计 (3)一、信号锁存电路 (3)二、优先编码电路 (4)三、显示电路 (6)四、逻辑控制电路 (6)五、二进制译码电路 (7)第3章安装、调试步骤 (9)第4章故障分析和电路改进 (10)第5章总结与体会 (11)第6章附录 (12)第7章参考文献 (13)第1章设计总体思路一、设计要求1.当病房病人紧急呼叫时(即按下呼叫按键),在医生值班室会显示当前呼叫病房病情最严重的编号(在此系统编号设定为4—7号四个,病人病情根据编号依次加重)。

2.根据病人病情设置四个优先级别。

当有多人呼叫时,病情严重者优先显示。

3.医护人员处理完当前最高级别的呼叫后,按一次医生控制按键,系统会清除当前最高优先级编号,显示此优先级编号。

依此类推系统按优先级别从高到低依次显示其它呼叫病人的编号,当全部处理完后,系统默认显示零。

二、基本原理系统由呼叫信号锁存、优先编码、二进制译码、显示及逻辑控制清除等几部分组成。

该系统通过四个不同的开关接受不同的病人紧急呼叫信号,并将其对应的信号锁存在所对应的D触发器中,再将这些信号传到型号为74148的8路优先编码中,根据优先级别对锁存信号进行编码,然后将编码输出接到数码管上显示出来。

医护人员用另外一个开关通过单稳态触发器、74160以及74138清除已处理完的病人的显示编码,单稳态触发器接到74160的清零端可以实现处理完当前最高级别的呼叫后,显示下一编号,当最高级别再次呼叫时,依然能显示其编码的功能,而74138用来对接收的信号进行译码的,其输出端接到D触发器的清零端进行清零。

当对所有的病人信号处理完毕时,数码管上显示为0。

三、系统框图图1.1 医院病人呼叫系统总体框图四、总电路图通过仿真软件,仿真出电路图如下:图1.2 病人呼叫系统原理图第2章单元电路设计一、信号锁存电路信号锁存电路用芯片74LS74的D触发器,D触发器的功能是当置数短和清零端接高电平时,CLK来一个上升沿,Q=D。

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设

基于vhdl和multisim的病房呼叫系统数电课设病房呼叫系统是一种用于病房内患者呼叫护士的设备。

本文将介绍基于VHDL 和Multisim的病房呼叫系统的数字电路设计。

1. 系统概述病房呼叫系统是一种用于病房内患者呼叫护士的设备。

系统由患者端和护士端组成。

患者端包括呼叫按钮和显示屏,护士端包括显示屏和报警器。

当患者按下呼叫按钮时,护士端的显示屏将显示患者的呼叫信息,并触发报警器发出声音。

2. 系统设计系统的设计基于VHDL和Multisim。

VHDL用于编写数字电路的行为描述,Multisim用于模拟和验证电路的功能。

2.1 患者端设计患者端包括一个呼叫按钮和一个显示屏。

呼叫按钮用于患者发起呼叫请求,显示屏用于显示患者的呼叫信息。

2.1.1 呼叫按钮设计呼叫按钮是一个触发器,当患者按下按钮时,触发器输出逻辑高电平。

我们可以使用VHDL编写一个简单的触发器模块,如下所示:```vhdlentity CallButton isport (clk : in std_logic;button : in std_logic;call_req : out std_logic);end CallButton;architecture Behavioral of CallButton isbeginprocess(clk)beginif rising_edge(clk) thenif button = '1' thencall_req <= '1';elsecall_req <= '0';end if;end if;end process;end Behavioral;```2.1.2 显示屏设计显示屏用于显示患者的呼叫信息。

我们可以使用VHDL编写一个显示屏模块,如下所示:```vhdlentity Display isport (clk : in std_logic;call_req : in std_logic;display_msg : out std_logic_vector(7 downto 0) );end Display;architecture Behavioral of Display issignal counter : integer range 0 to 9 := 0;beginprocess(clk)beginif rising_edge(clk) thenif call_req = '1' thencounter <= 9;elsif counter > 0 thencounter <= counter - 1;end if;end if;end process;process(counter)begincase counter iswhen 0 =>display_msg <= "00000001"; -- 显示“呼叫”when 1 =>display_msg <= "00000010"; -- 显示“请稍候”when 2 =>display_msg <= "00000100"; -- 显示“正在处理”when 3 =>display_msg <= "00001000"; -- 显示“护士已派出”when others =>display_msg <= "00000000"; -- 不显示任何信息end case;end process;end Behavioral;```2.2 护士端设计护士端包括一个显示屏和一个报警器。

病人呼叫报警电路

病人呼叫报警电路

电子课程设计————病人呼叫报警电路学院:电子信息工程学院专业、班级:电气081502班姓名:张毅珏学号:200815010228指导老师:闫晓梅2010年12月病人呼叫报警电路一、设计任务与要求1.课题任务本例介绍的病人呼叫报警器安装在药盒上,适用于冠心病人随身携带使用。

病人在冠心病发作时,只要按一下药盒(药盒上写着“请帮我服用盒内药丸粒”)上的按钮,该报警器就会发出报警信号,引起在场人们的注意,以便及时服用。

2.课题要求1)按下按钮时,开始报警。

2)报警时间为一分钟。

3)设计呼叫器所用的直流稳压电源。

3.提高部分1)报警时间可调,且可人为解除报警。

2)显示报警时间3)直流稳压电源大小可调。

二、总体框图图(1)总体框图各模块设计思路,方案选择及其功能:为实现设计总任务,首先要设计一个能稳定输出 12V的直流电压为整个电路提供能量。

其次要提供一个能产生1Hz的秒脉冲方波信号。

为最大计时为9分59秒的计时器提供脉冲。

同时需要三位技术电路,即秒各位、秒十位、分个位。

计数后进行译码显示。

再次需用555定时器构成的单稳态触发电路产生要求时间长度的脉波信号,使报警器发出要求时间长度的报警信号。

另外需要启动控制开关和手动复位开关。

1.秒脉冲触发器我对秒脉冲发生器的设计考虑了以下两种方案:方案(1):直接由555定时器构成的多谐振荡器产生频率为1Hz的脉冲。

方案(2):先由555定时器构成的多谐振荡器产生频率为100Hz的脉冲,再经过分频器100分频,产生频率为1Hz的脉冲。

最终采用第(2)种方案,原因如下:Ⅰ. 方案(1)的仿真速度慢,要经过很长时间才能出现仿真结果,由于该电路又需要反复调整,因此要经过很长时间才能调出周期为1s的脉冲。

Ⅱ. 方案(1)出现的误差比较大。

假如方案(1)、(2)的周期都准确地调整到了1s。

从计数开始,到第一个脉冲到来的时间是不定的,这时就会出现误差,方案(1)的最大误差为1s,而方案(2)的最大误差为0.01s。

病房呼叫电路设计

病房呼叫电路设计

名称:综合训练项目一题目:病床呼叫电路设计专业:电气工程及其自动化班级:电气14-1 ,第四组姓名:1设计任务和要求1. 3个病房,分别编号为一、二、三号,每个病床4张病床,分别编号为1、 2、3 、4号。

要求每个病房任意时刻只允许一张病床呼叫。

有病床呼叫时,发出响铃的同时显示房间号和病床号。

2.直到护士应答时响铃和显示停止。

2设计方案选择方案一:该系统采用拨动开关来模拟各病房的呼叫按钮。

按键信息经优先编码器,七段显示器传达给医护人员。

医护人员将所有的病例处理完后,将病例的呼叫开关断开。

七段显示器自动熄灭,蜂鸣器停止响铃电路分为三个模块:开关控制模块,数码显示模块,警报模块。

方案二:采用单一优先编码器,直接对信号进行处理。

任意一个床位先呼叫,则这个床位的优先级最高,所以每个床位使用一个优先编码器,当某一个优先编码器工作时,将其输入到其他三个选通端,使其他优先编码器不工作。

再将四个床位信号通过编码器对信号进行编码输出到数码管。

本设计采用方案一。

3单元电路设计3.1 呼叫应答电路设计1、以一号房间为例,用4个单刀双掷开关由四个床位控制,单刀双掷开关如图3-1-1.每个开关的一号端接五伏电源,二号端接地,如图3-1-2。

图3-1-1 开关三端标号图3-1-2 病床呼叫设计2、应答设计:当四个床位开关任意接通低电平时,房间显示器显示房间号,床位显示器显示床号,蜂鸣器鸣响。

四个床位对应的单刀双掷开关的三号端一起接四输入与非门(74C20N_6V)如图3-1-3。

护士将开关三号端置于接地的二号端,此时由于护士开关接编码器的D7非端,优先级最高,输入为低电平,所以输出为000,开关设计如图3-1-4图3-1-3 四输入与非门图3-1-4 护士回应开关设计3.2 编码电路设计为了房间床位号的显示,将输入高低电平信号改为二进制代码的形式,所以设计编码电路。

编码电路由四个单刀双掷开关和74HC148DW_6V优先编码器组成。

医院病人呼叫系统 简单电路

医院病人呼叫系统  简单电路

综合课程设计报告医院病人紧急呼叫系统学生姓名:周跃、周怡洁、张卓、郑锐、杨蕾、汪金强指导教师:曹喜珠所在系:电子工程系所学专业:电子信息工程年级:2012级2014 年 6 月医院紧急呼叫系统摘要关键词:置零编码译码数码显示管系统可由信号输入,信号编码电路,信号译码电路,数码管显示等几部分组成。

系统首先通过开关闭合接受呼叫信号,用与非门电路将没有信号输入时,数码显示管显示零,并将其信号输入到74LS148D芯片,由74LS148D芯片进行编码,然后将这一时刻所有的呼叫信号传到8路译码器(这里用74LS248D),译码器再根据这一时刻信号对这些信号进行译码,然后传到显示电路根据优先级依次由高到低显示,护士根据病人病情严重情况进行处理,处理一个清除一个,清除的具体方法就是每按一下74LS248D芯片中的BI/RBO端,使芯片工作与不工作,然后电路又可以用同样的原理处理下一时刻的呼叫信号了。

一、设计任务与要求1.1 设计内容1. 根据病人病情设置优先级别。

当有多人呼叫时,病情严重者优先;2. 医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其它呼叫病人的编号;3.在实验室组装实际电路并调试通过;4.写出设计报告。

1.2 设计要求1.思路清晰,给出整体设计框图和总电路图;2.单元电路设计,给出具体设计思路和电路;3.写出设计报告。

二、元器件清单及简介2.1 元器件74LS248D 1个,74LS148D 1个,单刀单掷开关 5个,74LS00 2个,电阻11个,电压 5个。

2.2元器件简介74LS248D:74LS248D是一个七段译码器。

七段显示译码器输入的是8421BCD码,输出的是能驱动七段显示器的高低电平信号,有四个输入端,译码后产生的信号输入给相应的数码管,248采用共阳极数码管。

当某字段的电平为"1"时,该字段发亮,否则不亮。

RBI:灭零输入端,低电平有效。

当输入端DCBA=0000时,只要RBI=0,译码器各字段输出均为"0",不显示数字"0"。

病房呼叫报警电路报告

病房呼叫报警电路报告

一、概述病房呼叫系统在实际生活中对人们生活的改善,对企业形象的提升起着十分重要的作用。

对医务人员而言,不需要时刻去查房、巡逻,更不需要高声应答病人或家属,免去了无数次的来回奔波,维护了医院良好的安静环境,及时而准确的给病人带来需要和服务。

对病人及其家属而言,不必在医院大声喧哗地呼叫医务人员,也不用亲自走到护士房告知护士,更不用在各个病房到处寻找护士。

设计的基本原理:1、对5个病房进行设计,并设优先级。

如1>2>3>4>5。

2、Led显示:将病房发出的信号转化为0,1二进制,输入优先编码器74LS148的输入端,再将74LS148输出的信号经逻辑转化后置入为BCD-七段显示译码器74LS48的输入端,然后显示译码器74LS48再输出给LED七段显示器。

3、蜂鸣器报警:将病房发出的信号转化为一个5s时长的蜂鸣信号,驱动蜂鸣器工作。

4、实现LED显示器和蜂鸣器的复位功能。

最终实现病房优先级分为五级,当有病房呼叫时,LED七段显示器会显示出呼叫病房的编号,并发出5s的蜂鸣声报警。

报告组成结构:一、概述二、方案论证三、电路设计四、性能测试五、结论二、方案论证方案一:将五个病房分为1,2,3,4,5个编号,其中优先级依次递减,用五个开关分别模拟五个病房发出的信号,按下开关则发出求救信号。

利用具有优先编码功能的74LS148芯片,将病房发出的信号输入到D3~D7中,其中D7~D3优先级依次降低,故将1~5号病床分别接到D7~D3中,因为其输入为低电平有效,故默认开关接高电平,闭合时接低电平。

然后74LS148芯片对输入的信号进行编码。

由于74LS48驱动LED七段显示器所需要的输入信号与74LS148芯片输出的信号不能直接相连,故根据逻辑关系,选择相应的与非门,进行逻辑转化。

最终使LED七段显示器能按要求显示出1~5五个数字。

蜂鸣器则采用555定时器构成的单稳态触发器驱动,后者能产生5s时长的高电平信号,然后恢复低电平。

病房呼叫系统电路.

病房呼叫系统电路.

数字电子技术课程设计题目: 病房呼叫系统姓名: 汤大智专业: 电子科学与技术班级: 112学号:指导教师: 无2013年06月15日安徽科技学院理学院病房呼叫系统一课程设计题目与实习目的课程设计题目:病房呼叫系统实习目的:1.掌握数字电路课程所学的理论知识以及数字电子技术在生活中的应用。

2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。

3.进一步深化对电子技术的了解,强化实际动手操作能力以及发现问题解决问题的方法。

4.培养认真严谨的工作作风和实事求是的工作态度。

5.数电课程实验是大学中为我们提供的一次动手实践的机会,增强实际动手操作与研发的能力。

二任务和要求要求:1.用1~5个开关模拟5个病房的呼叫输入信号,5号优先级最高;1~5优先级依次升高;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

三总体方案的选择病房呼叫系统整体思路为:●LED指示灯显示病房模块●数码管优先显示重病房模块●蜂鸣器报警5秒模块首先由病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通过LED指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编码器进行编码,我这里选用的是74LS148,8线—3线优先编码器,其低电平为有效输入;然后再进行译码输出,我选用的是74LS48,为共阴极7段译码/驱动器,74LS148输出为反码输出,遂在74LS48前加上反向器进行输入;再用共阴极七段数码管进行输出。

(3)进入第三模块,通过一个与非门对信号进行处理,连接周期T为10秒,占空比为50%的方波发生器与信号一同通过与门,控制输出信号可以持续5秒,使蜂鸣器呼叫5秒。

流程图如下:逻辑数据开关用编码器74LS148进行编码反相器用译码器74LS48进行译码用7段数码管显示重病房LED指示灯显示呼叫病房与非门处理信号周期T为10秒,占空比50%的方波蜂鸣器与门项目整体设计思路与流程四单元电路的设计1.设计所使用的元件及工具:(1)L ED指示灯模块LED显示灯----------------------------------------- 5个;保护电阻R=500Ω------------------------------------5个;(2)数码管显示模块编码器74LS148D-------------------------------------1个;反相器74LS04D--------------------------------------3个;译码器74LS48D--------------------------------------1个;(3)蜂鸣器呼叫模块与非门74LS30D -------------------------------------1个;方波发生器 ---------------------------------------1个;与门7408D -----------------------------------------1个;蜂鸣器 --------------------------------------------1个;另外:逻辑双掷开关5个;电源VCC、接地GND、导线若干。

病房呼叫系统原理图

病房呼叫系统原理图

病房呼叫系统原理图病房呼叫系统是一种医疗设备,用于提供病患与医护人员之间的有效沟通和紧急呼叫功能。

它的主要原理是通过无线通信技术,将病患的呼叫信号传输到医护人员的工作站或移动设备上,以便及时响应病患的需求。

病房呼叫系统的原理图包括以下几个主要组成部分:1. 病患终端设备:每个病床上都安装有一个病患终端设备,通常是一个按钮或触摸屏,用于病患发起呼叫请求。

病患可以通过按下按钮或触摸屏上的特定区域来发送呼叫信号。

2. 呼叫传输设备:病患终端设备通过无线通信技术将呼叫信号传输给呼叫传输设备。

呼叫传输设备通常安装在病房的天花板上或墙壁上,负责接收和转发病患的呼叫信号。

3. 呼叫接收设备:呼叫接收设备通常是医护人员的工作站或移动设备,用于接收和显示病患的呼叫信息。

医护人员可以通过工作站或移动设备上的界面查看病患的呼叫信息,并及时响应。

4. 呼叫管理系统:呼叫管理系统是病房呼叫系统的核心部分,负责管理和控制呼叫信号的传输和处理。

它通常包括呼叫接收设备的软件和数据库,用于记录和统计病患的呼叫信息,并提供相关的报表和分析功能。

5. 呼叫响应设备:医护人员可以通过呼叫响应设备对病患的呼叫进行响应。

呼叫响应设备可以是医护人员的对讲机、移动设备或显示屏,用于接收并显示病患的呼叫信息,并向医护人员发出声音或震动提示。

病房呼叫系统的工作流程如下:1. 病患按下按钮或触摸屏上的呼叫区域,发送呼叫信号。

2. 呼叫传输设备接收到呼叫信号后,将其传输给呼叫管理系统。

3. 呼叫管理系统接收到呼叫信号后,将其分配给相应的呼叫接收设备。

4. 呼叫接收设备接收到呼叫信号后,显示相关的呼叫信息。

5. 医护人员根据呼叫信息,及时响应病患的需求。

6. 医护人员通过呼叫响应设备确认对病患的呼叫进行了响应,系统记录响应时间和相关信息。

病房呼叫系统的优势和应用范围:1. 提高医疗服务效率:病患可以通过病患终端设备快速发起呼叫请求,医护人员可以及时收到呼叫信息并作出响应,有效提高医疗服务效率。

数字电路课程设计病房呼叫系统

数字电路课程设计病房呼叫系统

病房呼叫系统在医院能够最好的为病人服务是很重要的,但是护士不能总是在每一位病人身边。

所以,病房床位呼叫器对一个服务好的医院来说是很必要的。

本设计的整个呼叫器电路由显示、控制、报警和整流电源组成。

使得病人可以及时的通知医生,并且医生也能够很快的知道是哪个病房、哪个床位的病人在求助。

工作原理及内容当病人呼叫时,护理主机会发出语音报号。

如第1号床病人呼叫时,护理主机发出“叮咚!1号床呼叫”语音。

语音报号的最大特点是医务人员在专注处理其他事务时,能耳听八方,及时得到呼叫病人的信息,作出快速反应。

语音报号声音量高低可调,晚间音量自动减低一半,音量调节到最低时,尚能听清报号声,以防延误。

应答分机能显示床号,在治疗室化药处安装应答分机更有必要。

病人呼叫一般发生在盐水挂完后,在治疗室显示病人床号便于护士进一步核对病人与所需的药物,以免拿错发生医疗事故。

应答分机具有应答复位功能,可在过道、治疗室、值班室甚至医生办公室等医护士经常出现的地方设立多个应答分机,便于医护人员及时了解情况,迅速作出反应。

应答分机具有从编号到声音有别于病人的呼叫功能,可用作医护人员之间相互呼叫,以及护士紧急求助的呼叫。

紧急求助功能适合护士遇到紧急突发的偶然事件,向同伴求助以获得增援,一起帮助处理异常事务。

护士紧急求援呼叫报警声与一般病人的呼叫报警声有明显区别。

当多个病房同时呼叫时,护士值班室中显示优先级别最高的病房号且蜂鸣器SP使计算机上的扬声器发声。

病房床位呼叫器图1所示为病房床位呼叫器,每只SZ-1数字管可代表10个床位,用20只可代表200个床位。

SZ-1辉光数字管上具有一个共用的耻极和10个阴极的冷阴极辉光器件。

10个阴极分别做成0、1、2……9十个数字的形状,相互隔一定间隙重叠组成而成。

每个阴极对共用的阳极来说,就相当于一个氖灯,只要使10个阴极分别接通电源,就可显示出相应的数码。

图1180V 的直流电源通过限流电阻R1~R20加到数字管阳极,用开关(SB1~SB200)直接控制阴极接地,使电源形成回路。

病房呼叫系统电路设计(汤省文)

病房呼叫系统电路设计(汤省文)

电子技术课程设计题目:病房呼叫系统电路设计专业:自动化班级:13自动化1班姓名:汤省文学号:24指导老师:吴德林小组成员:汤省文余焕韬张耿洲成绩:目录一、技术指标 (1)1.1课题及要求 (1)1.2功能描述: (1)二、整体方案设计 (1)2.1整体方案结构方框图 (1)2.2整体方案原理 (1)三、单元电路设计 (2)3.1主控制电路设计 (2)3.2显示电路设计 (3)3.3病房门口指示灯电路设计 (3)3.4 护士值班室报警电路设计 (4)3.5整体电路 (4)3.6 proteus仿真 (6)3.7实物图 (7)3.8整机原件清单 (7)四、测试与调整 (8)4.1主控制电路的测试与调整 (8)4.2显示电路的测试与调整 (8)4.3病房门口指示灯电路测的试与调整 (8)4.4 护士值班室报警电路的测试与调整 (9)4.5整体电路测试 (9)五、设计小结 (9)5.1设计任务完成情况 (9)5.2问题及改进 (9)5.3心得体会 (10)附录 (11)参考文献 (15)一、技术指标1.1课题及要求某医院有七个病室,每个房间都装有呼叫按钮,同时在护士室有相应的显示电路,即能看到是哪个病室呼叫。

现要求:一号病室的呼叫优先权最高,七号最低,使用74LS148及其它门电路设计满足上述要求的组合逻辑电路。

有病人呼叫时蜂鸣器响起,显示单元电路显示病房间号。

1.2功能描述:1、呼叫功能:七个病室每个病室都装有一个呼叫按钮,当病室有需要时,可以通过呼叫按钮进行呼叫2、显示功能:在护士值班室内有相应的显示电路,可以看到是哪个病室在呼叫及相应病房门口LED指示灯亮起并闪烁,蜂鸣器响起。

3、优先权:七个病室呼叫具有优先权,其中一号病室优先权最高,七号病室优先权最低。

只要有一号病室呼叫时,不管其他六个病室是否呼叫,显示电路均显示一号病室;一号病室不呼叫时,只要有二号病室呼叫,不管其他病室是否呼叫,显示电路均显示二号病室…依次类推。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

综合课程设计报告
医院病人紧急呼叫系统
学生姓名:周跃、周怡洁、张卓、
郑锐、杨蕾、汪金强指导教师:曹喜珠
所在系:电子工程系
所学专业:电子信息工程
年级:2012级
2014年6月
医院紧急呼叫系统
摘要
关键词:置零编码译码数码显示管
系统可由信号输入,信号编码电路,信号译码电路,数码管显示等几部分组成。

系统首先通过开关闭合接受呼叫信号,用与非门电路将没有信号输入时,数码显示管显示零,并将其信号输入到74LS148D芯片,由74LS148D芯片进行编码,然后将这一时刻所有的呼叫信号传到8路译码器(这里用74LS248D),译码器再根据这一时刻信号对这些信号进行译码,然后传到显示电路根据优先级依次由高到低显示,护士根据病人病情严重情况进行处理,处理一个清除一个,清除的具体方法就是每按一下74LS248D芯片中的BI/RBO端,使芯片工作与不工作,然后电路又可以用同样的原理处理下一时刻的呼叫信号了。

一、设计任务与要求
1.1设计内容
1.根据病人病情设置优先级别。

当有多人呼叫时,病情严重者优先;
2.医护人员处理完当前最高级别的呼叫后,系统按优先级别显示其它呼叫病人的编号;3.在实验室组装实际电路并调试通过;
4.写出设计报告。

1.2设计要求
1.思路清晰,给出整体设计框图和总电路图;
2.单元电路设计,给出具体设计思路和电路;
3.写出设计报告。

二、元器件清单及简介
2.1元器件
74LS248D1个,74LS148D1个,单刀单掷开关5个,74LS002个,电阻11个,电压5个。

2.2元器件简介
74LS248D:74LS248D是一个七段译码器。

七段显示译码器输入的是8421BCD码,输出的是能驱动七段显示器的高低电平信号,有四个输入端,译码后产生的信号输入给相应的数码管,248采用共阳极数码管。

当某字段的电平为"1"时,该字段发亮,否则不亮。

RBI:灭零输入端,低电平有效。

当输入端DCBA=0000时,只要RBI=0,译码器各字段输出均为"0",不显示数字"0"。

LT:灯测试输入端,低电平有效。

当LT=0时,各字段a~g均输出高电平,显示数字"8",可以对数码管进行测试,检查能否正常工作。

正常译码时LT=1
BI/RBO:可以作为输入端使用,也可以作为输出端使用。

BI/RBO作为输入端使用时为灭灯输入端,低电平有效。

当BI=0时,不管其它输入端为何种电平,各字段均输出"0"。

BI/RBO 作为输出端使用时,称为灭零输出端,低电平有效。

只有DCBA=0000,而且灭零输入信号RBI=0时,RBO才会输出"0",否则输出"1"。

因此RBO=0表示译码器已经将本来应该显示的零熄灭了。

一位译码器可以驱动一个数码管,用以显示一个数字,要显示多位数字就要把多位译码器以及显示器合理的连接起来使用。

BI/RBO与相邻的译码器的RBI配合使用,可以消去整数之前和小数点之后,不需要显示的"0"。

74LS248D管脚图如下图所示:
74LS148D:74LS148是一个八线-三线优先级编码器。

在优先编码器电路中,允许同时输入两个以上编码信号。

在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。

74LS148的管脚排列图如下:
74LS148优先编码器管脚功能介绍:为16脚的集成芯片,电源是VCC(16)GND(8),I0-I7为输入信号,A2,A1,A0为三位二进制编码输出信号,IE是使能输入端,OE是使能输出端,GS 为片优先编码输出端。

74LS148是一个八线-三线优先级编码器。

GS为片优先编码输出端。

74LS00:74LS00是常用的2输入四与非门集成电路,作用很简单顾名思义就是实现一个与非门。

74LS00真值表:
A=1B=1Y=0A=0B=1Y=1A=1B=0Y=1A=0B=0Y=1
74LS00的管脚图如下图所示:
三、设计原理分析
3.1设计原理
用开关来作为按钮,输入低电平信号给74LS148D,芯片74LS148D没有用的端口全部接高电平,有用的端口全部接到开关,用74LS148D编码,输出高电平信号给74LS248D编码器,74LS248D的输出信号连接50欧姆的电阻接到7段数码管,数码管为共阴极,CK端接地,开关与开关之间用与非门连接之后,输出信号作用于74LS148D的D7端,这样才能使数码管在没有信号输入时显示数字0。

根据74LS148D的输入信号和开关之间配合有矛盾,所以在每一个输入信号之前都加入一个高电平。

3.2仿真分析
当开关1闭合时所输出的信号作用于74LS148D的D6端,其他输入端为高电平,经过74LS148D 编码输出信号给74LS248D,再经过74LS248D输出连接到7段数码管,这样数码管就显示数字1了。

仿真图如图1所示:
图1
当开关2闭合时所输出的信号作用于74LS148D的D6端,其他输入端为高电平,经过74LS148D 编码输出信号给74LS248D,再经过74LS248D输出连接到7段数码管,这样数码管就显示数字2了。

仿真图如图2所示:
图2
当开关3闭合时所输出的信号作用于74LS148D的D6端,其他输入端为高电平,经过74LS148D 编码输出信号给74LS248D,再经过74LS248D输出连接到7段数码管,这样数码管就显示数字3了。

仿真图如图3所示:
图3
当开关4闭合时所输出的信号作用于74LS148D的D6端,其他输入端为高电平,经过74LS148D 编码输出信号给74LS248D,再经过74LS248D输出连接到7段数码管,这样数码管就显示数字4了。

仿真图如图4所示:
图4
护士控制端为74LS248D的BI\IBO控制74LS248D的译码,若开关闭合,则芯片工作。

反之不工作,从而将病房病人输出的病房号置0。

仿真图如图5所示:
图5
三.实物连接图及测试结果4.1实物连接图
4.2测试结果
五.设计中的问题及改进
5.1设计中的问题:
1.74LS148D,74LS148D芯片,是低电平有效,当输入信号有效时,其他输入端都必须是高电平,但是跟开关一配合时,无法满足。

当一个输入端口为低电平时,其他端口为高电平。

2.当没有低电平信号作用于74LS148D时,数码管始终显示是7,而不是0。

3.数码管接了74LS148D之后始终不显示数字。

4.一开始没有用74LS148D来作为开关输出信号的译码器,直接用开关输出信号作用于74LS248D,可是数码管一直没有显示病房3的数字。

改进方法:
1.每一个芯片输入端口之前都加入高电平,再用1k的电阻配合连接到芯片输入端口。

2.在每一个开关之后加上与非门,在反馈给74LS148D的D7端口。

3.经过反复单个芯片和数码管配合仿真,需在数码管和74LS248D每一个输出端口加一个50欧姆的电阻。

4.了解到要使病房3显示数字,需在开关之后加一个译码器74LS148D。

六.总结
通过这次数电的实训,让我们了解到医院病人紧急呼叫系统是怎么设计并且如何使用的。

在这次实训中,我们不但要自己做出设计的电路图,在软件中找到相应的元器件,对整个电路进行仿真,还要不断地改进我们设计的电路图,力求做到完美。

在设计过程中,我们出现了很多次错误,通过不断地问老师,不断地做改进,才把电路图定稿。

经过小组队员的努力把项目做出来的感觉有说不出的欣喜,那种成就感让我们觉得很有信心。

虽然在实训的过程中想到过放弃,有过烦躁的情绪,但是我们坚持下来了,让我理解到坚持到底就是胜利这句话的真正含义。

“锲而舍之,朽木不折,锲而不舍,金石可镂”,我们从实训中领悟到此话的真谛。

实训让我还认识到,团队合作的精神很重要。

6个人一起做实训远比一个人单做有效率得多,集众家之所长,6个人合作分工明确,做事必然事半功倍。

这次实训不但要感谢小组的成员的齐心协力,还要感谢老师不厌其烦地指导我们小组的项目,我们才能把项目做得那么成功!
七.参考文献
[1]阎石主编.数字电子技术基础(第五版)[M].高等教育出版社,2006
[2]李良荣主编.EDA技术及实验[M].电子科技大学出版社,2008
安徽师范大学皖江学院
课程设计成绩评定单
题目:医院病人紧急呼叫系统
学生姓名学号成绩

导教师曹喜珠
周跃1271132
周怡洁1271131
杨蕾1271094
郑锐
张卓
汪金强
指导教师评语:
指导教师评定
设计成绩等级
指导教师(签章):
2014年6月19日。

相关文档
最新文档