EDA课程设计说明书参考格式
EDA课程设计
课程名称EDA技术课题名称键盘扫描显示与加减运算电路设计专业电子科学与技术班级1102班学号201101040211姓名指导教师陈意军2014年3月21日湖南工程学院课程设计任务书课程名称:eda技术题目:键盘扫描显示与加减运算电路设计专业班级:电子技术1102班学号:11学生姓名:指导老师:陈意军审批:任务书下达日期2014年3月10日设计完成日期2014年3月20日设计内容与设计要求一.设计内容:1.设计并调试键盘扫描与数码管显示电路;2.键盘为3*4,数码管为7段8位;3.以数字形式或字母显示键盘12个输入键的功能;4.完成1位数的加减运算,并显示运算结果。
5.设置控制开关和防抖动电路设计;6.功能扩展(自选);通过键盘完成汉字显示或对某外部硬件对象的控制。
二.设计要求:1.设计思路清晰,整体设计给出框图,提供顶层电路图;2.应用vhdl或verilog完成各次级模块设计,给出具体设计程序;3.完成设计仿真和程序下载;4.写出设计报告主要设计条件1.提供EDA实验室;2.提供EL实验箱和CPLD芯片3.提供ALTERA公司的quartusⅡ设计软件;说明书格式1.课程设计封面;2.任务书;3.说明书目录;4.设计总体思路;5.单元电路设计程序;6.设计仿真;7.编程下载;8.总结与体会;9.附录;10.参考文献。
进度安排月日~ 日课题电路设计。
月日~日总体电路设计和子模块设计月日~ 日软件仿真和联线。
月日~ 日电路调试月日写设计报告,打印相关图纸,月日答辩;参考文献目录1 整体设计思路及框图 (1)1.1 设计思路 (1)1.2 设计框图 (1)2 模块电路程序设计 (1)2.1 键盘行扫描设计 (1)2.2 列扫描及编码 (4)2.3 按键消抖 (8)2.4 加减法运算 (10)2.5 数码管显示 (14)3 总电路 (18)3.1 电路及连接 (18)3.2 总模块图 (19)3.3 总电路仿真 (19)4 程序下载 (20)4.1 实验箱、芯片及软件介绍 (20)4.2 管脚图 (21)4.3 下载 (22)4.4 下载结果显示 (23)5 总结与心得体会 (24)6 参考文献 (25)1 整体设计思路及框图1.1 设计思路本设计通过键盘的行列扫描程序实现3*4键盘的按键扫描并编码输出按键值,然后对按键输出值进行消抖,以避免抖动引起误动作从而保证每次按键值都能正确输出,同时来利用加减功能值实现的一位十进制加法、减法运算,并将结果转换成BCD 码输出到显示模块,通过显示模块将按键值或者加减运算结果在两个八段数码管上显示。
EDA课程设计
长沙学院课程设计说明书题目空调系统状态自动机的设计系(部) 电子与通信工程系专业(班级) 电气工程及其自动化姓名周鹏学号2010042113指导教师瞿曌起止日期2012.12.17--2012.12.30目录一、电子设计自动化设计任务书 (3)二、长沙学院课程设计鉴定表 (4)三、序言 (5)四、EDA技术及VHDL简述 (7)五、2.2 硬件描述语言VHDL (8)六、空调系统原理简介 (9)七、空调系统有限状态自动机设计 (11)八、收获与体会 (18)九、参考文献 (19)电子设计自动化设计任务书系(部):电子与通信工程系专业:电气工程及其自动化指导教师:瞿曌课题名称空调系统状态自动机的设计设计内容及要求试设计一个空调系统状态自动机,它两个输入端TEMP-HIGH和TEMO-LOW分别与传感器相连,用于检测室内温度。
如果室内温度正常,则TEMP-HIGH和TEMO-LOW均为0。
如果室内温度过高,则TEMP-HIGH为“1”,TEMO-LOW为“0”。
如果室内温度过低,则TEMP-HIGH为“0”,TEMO-LOW为“1”。
根据TEMP-HIGH和TEMO-LOW的值来判断当前的状态,如太热,则在数码管上显示TOO-HOT,并将输出端HEAT置为“1”,在LED上显示;如太冷,则在数码管上显示TOO-COLD,并将输出端COOL 置为“1”,在LED上显示;如适中,则在数码管上显示JUST-RIGHT。
系统提供50MHZ频率的时钟源。
完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。
设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案, 对设计方案进行必要的修正,方案确定后开始进行VHDL语言程序设计第4天设计VHDL语言程序第5~9天在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示第10天编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名周鹏学号2010042113 专业电气工程及其自动化班级一班设计题目空调系统状态自动机的设计指导教师瞿曌指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:系(部)意见:系主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;序言目前,电子系统正向集成化、大规模和高速度的方向发展,集成电路的规模越来越大,复杂程度越来越高,因此传统的门级描述方法显得过于琐碎,难以理解掌握。
eda课程设计说明书
说明书课程设计说明书课程设计名称:EDA技术课程设计题目:洗衣机控制电路学生姓名:沈启伟专业:信息工程学号: 312011********* 指导教师:日期:2014年6月 12日成绩说明书摘要:从课程设计要求来看,要求实现洗衣机的正转,反转,待机,当显示时间前5S时,正转60S,待机5s,反转60s,如此一来周期就是130秒,并用三个LED灯和7段显示器分别表示其工作状态和显示相应工作状态下的时间。
本设计采用EDA技术,通过FPGA芯片实现了洗衣机控制电路的设计,本文采用Verilog 硬件描述语言洗衣机控制电路,完成对电路的功能仿真。
洗衣机控制电路包括控制电路,控制对象,状态显示电路,计时及报警电路。
控制器是系统的核心部件。
它是一闭环系统,内部结构有5s和60s信号产生器、状态计数器、数据选择器和状态译码器,它能自动发出顺序循环控制信号(S、R、L),并同时完成在该状态下计时功能。
关键词:EDA技术、FPGA芯片、硬件描述语言、控制器、7段显示器Abstract:From the curriculum design requirement to see, demanding the washing machines are turning, reverse, standby, when shows the time before 5 S, are turning 60 S, standby 5 S, 60 S, reverse,So cycle is 130 seconds, and three LED lamp and seven segment display said its working status and respectively corresponding work condition of that time. Design by EDA technology, through the FPGA chip realized the washing machine control circuit design, the paper Verilog hardware description language washing machine control circuit and the completion of the function of the circuit simulation. The washing machine control circuit including control circuit, control object, state display circuit, and the alarm clock circuit. The controller is the core of the system components. It is a closed loop system, internal structure has 5 s and 60 s signal generator, state counters, multiplexer and state decoder, It can automatically sends out order cycle control signal (S/R, L), and finish at the same time in the state timing function.Keywords:Electronic Design Automatic technology,Flied Programmable,Gate Array,Hardware DescriptionLanguage, State Machine, Ring Counter;Controller, 7 section displays说明书目录前言 (2)1.EDA技术发展及介绍 (3)1.1EDA技术的介绍 (3)1.2 EDA技术的发展 (3)1.3 EDA技术的发展趋势 (4)2、总体方案设计 (5)2.1设计内容 (5)2.2设计方案比较 (5)2.3方案选择 (6).2.4 设计框图 (7)3、特殊器件的介绍 (8)3.1 CPLD器件介绍 (8)3.2 FPGA器件介绍 (8).4. 单元模块及软件设计 (10)4.1 电路原理图 (10)4.2有源晶振电路 (10)4.3供电电路 (11)4.4 PS配置电路 (12)4.5 软件设计 (12)5.仿真 (17)5.1仿真 (17)5.2 生成的原理框图: (17)6.系统调试及结果分析 (18)7. 结论及心得体会 (19)7.1设计小结 (19)7.2 设计收获 (19)7.3 致谢 (19)8. 参考文献 (20)说明书前言随着电子技术的发展,人们的生活水平和质量不断提高,生活设备的智能化程度也越来越高,这些都离不开电子产品的进步。
EDA课程设计报告-格式
郑州航空工业管理学院
EDA课程设计报告题目
姓名
学号
指导教师
二О一一年月日
一.设计任务
主要说明你的设计任务及功能。
二.设计方案
主要说明用什么方法实现的,用到哪些元件等,画出电路原理图。
(照着发的板子测出来),说明电路工作原理。
三.设计程序
主要分析程序的设计流程,主要部分进行说明,并将完整程序附上。
四.总结及心得
总结设计过程有哪些问题,怎么解决的,还有什么需要完善的。
设计过程中有什么心得和收获。
电路板的管脚连接关系如下:
Seg0 seg1 seg2 seg3 seg4 seg5 seg6 (小数点seg7没有用到)
24 25 26 27 28 29 31
时钟GCLK1 43
Dig0 dig1 dig2 dig3
34 36 37 39
Key0 key1 key2
14 16 17。
EDA课程设计模板
《EDA技术》课程设计报告项目名称:自动售货机控制系统设计所在院系:物理与机电工程学院专业班级: 2011级应用电子技术教育组员:黄瑞 1150740005杨雪林 1150740006罗凤丹 1150740003王晓燕 1150740001自动售货机控制系统设计一、设计要求设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。
(1)用3个键表示3种钱,再用3个键表示3种物品。
(2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。
(3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。
(4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。
二、设计思路数字系统一般采用自顶向下的层次化设计方法,在Quartus II中可以利用层次化设计来实现自顶向下的设计。
在电路的具体实现时,一般先组建低层设计,然后再进行顶层设计。
1、该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。
2、该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。
用户可以用硬币进行购物,按键进行选择。
3、系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。
4、系统自动的计算出应找钱币余额、库存数量并显示。
5、系统以FPGA器件为处理数据和控制核心。
三、设计原理1、系统设计方案根据系统要求,系统的组成框图如图1所示。
图1 系统组成框图系统按功能可分为分频模块、控制模块和译码输出模块。
(1)分频模块的作用是获得周期较长的时钟信号,便于操作,且不会产生按键抖动的现象。
其原理是定义两个中间信号Q、DIV_CLK,Q在外部时钟CLK的控制下循环计数,每当计数到一个设定的值时DIV_CLK的值翻转,最后将DIV_CLK赋给NEW_CLK即可,改变设定值可改变分频的大小。
eda最简单的课程设计
eda最简单的课程设计一、课程目标知识目标:1. 让学生掌握EDA(电子设计自动化)的基本概念,理解其在现代电子设计领域的重要性。
2. 使学生了解并能够描述EDA工具的基本功能,如电路图绘制、电路仿真、PCB设计等。
3. 帮助学生理解并掌握简单电路的EDA设计流程。
技能目标:1. 培养学生运用EDA软件进行电路图绘制和电路仿真的能力。
2. 培养学生通过EDA工具设计简单的PCB布线图,并能进行基本的质量检查。
3. 培养学生具备团队协作和沟通能力,能够就设计过程中遇到的问题进行有效讨论。
情感态度价值观目标:1. 培养学生对电子设计领域的兴趣,激发创新意识,提高实践能力。
2. 培养学生具有严谨的科学态度,对待设计任务认真负责,追求卓越。
3. 培养学生具备合作精神,学会尊重他人意见,共同解决问题。
分析课程性质、学生特点和教学要求:本课程为电子技术相关课程,旨在让学生通过实践操作,掌握EDA技术的基本应用。
针对初中年级学生,课程内容需结合学生已有的电子知识,注重启发性和趣味性。
在教学过程中,注重培养学生的实际操作能力和团队协作能力。
二、教学内容1. EDA基本概念介绍:包括EDA的定义、发展历程、主要应用领域。
2. EDA工具功能概述:介绍常见的EDA软件,如Altium Designer、Cadence等,以及它们的主要功能特点。
- 电路图绘制:学习如何使用EDA软件绘制电路原理图。
- 电路仿真:了解仿真原理,学习使用EDA软件进行电路功能仿真。
- PCB设计:学习PCB布线设计的基本概念和方法,掌握简单PCB布线图的绘制。
3. 简单电路的EDA设计流程:以实际案例为引导,让学生了解从电路设计到PCB制作的完整流程。
- 设计任务分析:明确设计任务,分析电路功能需求。
- 电路图绘制与仿真:根据需求,使用EDA软件完成电路图绘制并进行仿真测试。
- PCB布线与制作:将电路图转化为PCB布线图,并进行基本的质量检查。
EDA课程设计指导书
《电子设计自动化》课程设计指导书课程名称:电子设计自动化/Electronic System Design and Testing周数/ 学分:2/2先修课程:电子设计自动化适应专业:电子信息科学与技术专业、通信工程专业开课单位:信息工程学院一、课程设计的目的通过《电子设计自动化》课程设计,使学生能够掌握FPGA应用系统的开发过程。
要求学生经过课程设计的教学环节进一步理解FPGA应用系统的工作原理。
使学生理解课题教学的理论内容,针对所选课题,能够按照FPGA的开发流程和VerilogHDL语言建模、仿真、综合、下载、配置,用EDA6000实验箱上的FPGA系统实现相应功能,可以起到综合运用各种技术和知识的作用。
二、课程设计的内容和要求针对一个电子应用系统,进行FPGA硬件和软件设计并能动手调试。
课程设计题目由指导教师提供,一般1人一组。
参考题目如下:1.交通灯控制器设计要求:设计一个具有四种信号灯的交通灯控制器。
设计要求是:由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄、左拐允许四盏信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,左拐灯亮允许车辆向左拐弯。
信号灯变换次序为:主支干道交替允许通行,主干道每次放行40S,亮5S红灯让行驶中的车辆有时间停到禁行线外,左拐放行15秒,亮5S红灯;支干道放行30S,亮5S黄灯,左拐放行15秒,亮5S红灯……。
各计时电路为倒计时显示。
系统框图硬件系统示意图2.出租车自动计费器图1-2具有四种信号灯的交通灯控制器硬件系统示意图图1-1具有四种信号灯的交通灯控制器系统框图设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费三部分,用三位数码管显示总金额,最大值为99.9元。
起步价为5.0元,3公里之内按起步价计费,超过3公里,每公里增加1元,等待时间单价为每1分钟0.1元。
用两位数码管显示总里程,最大值为99公里,用两位数码管显示等待时间,最大值为99分钟。
EDA技术课程设计说明
EDA技术课程设计说明EDA技术课程设计说明《EDA技术》以电路实例为基础,将许多界面和知识的讲解融入到具体的电路绘制中,图文并茂、易学易懂。
以下是关于EDA技术课程设计说明,欢迎大家参考!一、课程设计内容提要要求:1、每个题目固定为一组,每组每班至多5人,方案可不唯一;2、按照题目难易程度、日常表现、设计报告及答辩好坏取得相应成绩;3、各班分组情况请于课程设计前一周发于我QQ邮箱。
所选题目如下所示:1、花样彩灯控制电路设计(容易题)要求:显示至少四种花样、不同的`花型、循环显示。
2、智力竞赛抢答器设计(容易题)要求:至少4人抢答,发光显示,对了得一分,错了不得分,设置裁判功能、复位功能。
3、汽车尾灯控制器设计(容易题)要求:左转、右转相应方向黄色闪烁,刹车红色亮,紧急情况双向黄色闪烁。
4、电梯控制器设计(中等题)要求:至少八层楼高,上升、下降、报警三种模式,显示电路、相应按键完整。
5、数字电子钟设计(中等题)要求:具有时分秒显示功能,24、60进制完整、具有复位功能、参数可调。
6、LED点阵广告牌滚动字符显示设计(中等题)要求:在8×8点阵上循环显示(左移、右移)至少10个汉字。
7、数字密码锁设计(中等题)要求:初始密码设置,输入密码时:正确返回1,错误返回0,当三次错误输错误时,锁定不再接受输入并声、光报警。
8、出租车计费器设计(复杂题)要求:脉冲计数、单价可调、动态显示。
9、简易函数发生器设计(复杂题)要求:要求在规定的幅值、频率下发生正弦波、方波、三角波、锯齿波等。
10、FIR滤波器设计(复杂题)要求:采样频率Fs80KHz,截止频率Fc10KHz,输入序列为10位(第10位为符号位),窗口为kaiser窗0.5,滤波器长度为16,输出结果保留10位。
二、课程设计预期目标要求掌握所有电路、程序及工作原理,并且能够阐述清楚,熟练掌握软件操作、程序下载流程,能够说明仿真及程序结果、了解相关数据产生原因。
eda课程设计参考
eda课程设计参考一、教学目标本课程的教学目标是使学生掌握eda的基本概念、原理和应用方法,培养学生运用eda解决实际问题的能力。
具体分为以下三个层面:1.知识目标:学生需要掌握eda的基本原理、方法和常用工具,包括电路图设计、逻辑设计、仿真和综合等。
2.技能目标:学生能够熟练使用eda工具进行电路设计和仿真,具备分析和解决实际eda问题的能力。
3.情感态度价值观目标:培养学生对eda技术的兴趣和好奇心,增强学生的创新意识和团队合作精神。
二、教学内容本课程的教学内容主要包括以下几个部分:1.eda基本概念和原理:介绍eda的定义、发展历程和基本原理,使学生了解eda在电子工程领域的重要地位。
2.电路图设计:讲解电路图设计的基本方法,包括原理图设计、逻辑设计等,并通过实例让学生动手实践。
3.仿真与验证:介绍eda仿真工具的使用方法,使学生能够进行电路功能和性能的仿真验证。
4.逻辑设计与综合:讲解逻辑设计的方法和步骤,以及逻辑综合的基本原理,让学生掌握逻辑电路的设计与优化。
5.实际应用案例:分析eda技术在实际项目中的应用,让学生了解eda技术在工程实践中的价值。
三、教学方法为了达到本课程的教学目标,我们将采用以下教学方法:1.讲授法:通过讲解eda的基本概念、原理和应用,使学生掌握相关知识。
2.讨论法:学生进行课堂讨论,激发学生的思考,培养学生的创新意识和团队合作精神。
3.案例分析法:分析实际应用案例,让学生了解eda技术在工程实践中的价值。
4.实验法:让学生动手实践,熟练使用eda工具进行电路设计和仿真。
四、教学资源为了支持本课程的教学内容和教学方法,我们将准备以下教学资源:1.教材:选用权威、实用的eda教材,为学生提供系统的学习资料。
2.参考书:提供相关的参考书籍,丰富学生的知识体系。
3.多媒体资料:制作精美的课件、教学视频等,增强课堂教学的趣味性。
4.实验设备:配备齐全的实验设备,让学生能够进行实际操作。
EDA课程设计说明书
目录1 前言 (1)2 总体方案设计 (4)2.1 方案比较 (4)2.1.1 方案一 (4)2.1.2 方案二 (5)2.1.3 方案三 (6)2.2 方案论证 (7)2.3 方案选择 (7)3 单元模块的设计 (7)3.1 抢答器鉴别模块 (8)3.2 抢答计时模块 (9)3.3 报警模块 (10)4 软件设计 (12)4.1软件设计原理及设计所用工具 (12)4.2 设计思路 (13)4.3 软件设计流程图 (14)5 系统调试 (15)5.1 硬件调试 (15)5.2 软件调试 (16)6 系统功能、指标参数 (16)6.1 实现功能 (16)6.2 指标参数 (17)6.3 指标参数分析 (17)7设计总结 (17)参考文献 (19)附录 (20)相关设计图 (20)软件程序 (21)1 前言随着各种智益电视节目的不断发展,越来越多的竞赛抢答器派上了用场。
抢答器不仅体现了选手之间的公平抢答,而且能节目现成紧张而活跃的气氛,增强节目的趣味性,让观众看得更有乐趣从而达到提高收视率的效果。
可见,抢答器在现实生活中确实很实用,而且运用前景非常广泛。
抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路。
竞赛者可以分成若干组,抢答时各组对主持人提出的问题在最短时间内做出判断,并按下抢答按键回答问题。
当第一个人按下按键后,则在显示器上显示该组的号码,同时将其他按键封锁,使其不起作用。
若在抢答时间内无人抢答,则报警信号发出警报。
回答完问题后,由支持人将其按按键恢复,重新开始下一轮抢答。
EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术作为现代电子设计最新技术的结晶,其广阔的应用前景和深远的影响已经毋庸置疑它在信息工程类专业中的基础地位和核心作用也逐渐被人们所认识,它以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA课程设计说明书正文
目录1.前言 (1)2.总体方案设计 (2)2.1 需求分析 (2)2.1.1单片机设计 (2)2.1.2 EDA技术设计 (2)2.2 方案论证 (3)2.2.1单片机总体设计方框图设计及分析 (3)2.2.2 EDA技术设计 (3)2.3 方案选择 (4)3单元模块设计 (5)3.1设计思路 (5)3.1.1卡的插入模拟 (5)3.1.2设计三种电话种类 (5)3.1.3告警系统 (5)3.1.4 计费系统示意图 (5)3.2 各单元模块功能介绍及电路设计 (5)4软件设计 (7)4.1 FPGA概述 (7)4.2设计方法 (7)4.2.1计时方式 (7)4.2.2计费系统 (7)4.2.3话费刷新 (7)4.2.4 设置计时变量 (7)4.2.5程序流程图 (8)4.2.6 模块的源程序 (10)4.3 画出主要软件设计流程框图 (10)5系统调试 (11)5.1硬件调试 (11)5.2 软件调试 (11)6结论 (12)7总结与体会 (13)8谢辞 (14)9参考文献 (15)附录一: (16)1.前言EDA使用户在无需实际芯片、电路板和仪器仪表的情况下进行电路设计和分析;采用在系统编程技术,在现场对系统进行逻辑重构和升级,实现硬件设计软件化。
EDA技术以可编程逻辑器件FPGA和CPLD及其开发系统为硬件平台,以EDA开发软件如Quartus Ⅱ为开发工具,基于逻辑功能模块的层次化设计方法设计数字系统。
Quartus Ⅱ设计可采用原理图、硬件描述语言(VHDL)等多种输入方式,并支持这些文件的任意混合设计。
对于不同层次,可采用不同的输入方式进行设计。
由于VHDL擅长描述模块的逻辑功能,所以在对底层模块设计中,常采用VHDL进行描述,而原理图则擅长描述模块间的连接关系,故在顶层设计中,常采用原理图输入方法。
模拟IC卡电话计费器通常以单片机为核心进行设计,本文以为它例介绍基于EDA技术的数字系统混合设计方法。
EDA课程设计报告书
《电子设计自动化 EDA》课程设计报告书学号:08057102班级:自动化 081姓名:陈婷指导教师:刘伟目录一、设计思想 (2)二、设计步骤 (3)三、调试过程 (8)四、结果剖析 (10)五、心得领会 (11)六、参照文件 (11)一、设计思想(一)、设计要求1、拥有以 24 小时制时、分、秒记时、显示功能。
2、拥有整点报时功能,整点报时的同时LED 花式显示。
3、拥有消零,调理小时,分钟功能。
4、设计精度要求为1s。
( 二) 、系统功能描绘1.、系统输入:调时、调分,清零信号,分别用按键开关 SETHOUR、SETMIN、RESET控制;计数时钟信号 CLK采纳 2HZ时钟源,扫描时钟信号 CLKDSP采纳 32HZ时钟源或更高;2、系统输出:8 位八段共阴极数码管显示输出;LED花式显示输出;3、系统功能详尽描绘:计时:正常工作状态下,每天按24 小时计时制,蜂鸣器无声,逢整点报时。
显示:要求采纳扫描显示方式驱动8 位 8 段数码管显示。
整点报时:蜂鸣器在“ 51”、“ 53”、“ 55”、“57”、“59”秒发音,结束时为整点;校时:在计时状态下,按下按键 SETMIN设定分钟,按下按键 SETHOUR设定小时。
(三)设计思路1、分别写出六进制、十进制、二十四进制、清零、设置时分、 LED 译码部分,在主体部分用元件例化语句计时,清零设置时分、 LED 译码,再加上扫描模块2、将六进制、十进制、二十四进制、清零、设置时分、 LED 译码、扫描模块分模块写在一个主中(四)系统电路构造框图二、设计步骤(一)各种进制的计时实时钟控制模块程序1、6 进制library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter6 isport( clk,reset,set: in std_logic;ain:in std_logic_vector(3 downto 0);aout: out std_logic_vector(3 downto 0);co: out std_logic);end counter6;architecture art2 of counter6 issignal count:std_logic_vector(3 downto 0);beginprocess(clk)beginif (clk'event and clk='1')thenif(reset='0')then count<="0000";elsif(set='1')then count<=ain;elsif (count="0101")thencount<="0000";co<='1';else count<=count+1;co<='0';end if;end if;end process;aout<=count;end art2;2、10 进制library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter10 isport(clk,reset,set: in std_logic;ain:std_logic_vector(3 downto 0);aout:out std_logic_vector(3 downto 0);co:out std_logic);end counter10;architecture art1 of counter10 issignal count:std_logic_vector(3 downto 0); beginprocess(clk)beginif(clk'event and clk='1') thenif(reset='0')then count<="0000";elsif(set='1')then count<=ain;elsif(count="1001") thencount<="0000";co<='1';else count<=count+1;co<='0';end if;end if;end process;aout<=count;end art1;3、24 进制ibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity counter24 isport( clk,reset,set: in std_logic;ainh:in std_logic_vector(3 downto 0);ainl:in std_logic_vector(3 downto 0);aout: out std_logic_vector(7 downto 0));end counter24;architecture art3 of counter24 issignal count:std_logic_vector(7 downto 0);beginprocess(clk)beginif(clk'event and clk='1') thenif(reset='0')then count<="00000000";elsif(set='1')then count(7 downto 4)<=ainh;count(3 downto 0)<=ainl;elsif(count(7 downto 4)<"0011" ) thenif(count(7 downto 4)="0010" and count(3 downto 0)="0011") then count<="00000000";elsif(count(3 downto 0)="1001") thencount(3 downto 0)<="0000";count(7 downto 4)<=count(7 downto 4)+1;else count(3 downto 0)<=count(3 downto 0)+1;end if;end if;end if;--end if;end process;aout<=count;end art3;(二)系统整体程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock isport(clk,b1,clks: in std_logic;reset: in std_logic;setmin,sethour: in std_logic;minutell,minutehh,hourll,hourhh,b2:in std_logic_vector(3 downto 0); secondl,secondh:out std_logic_vector(3 downto 0);--second0,second2:out std_logic_vector(6 downto 0);minutel,minuteh:out std_logic_vector(3 downto 0);--minute0,minute2:out std_logic_vector(6 downto 0);hourl,hourh:out std_logic_vector(3 downto 0);--hour0,hour2,dout:out std_logic_vector(6 downto 0);dout:out std_logic_vector(6 downto 0);s:out std_logic_vector(2 downto 0);singing,light: out std_logic);end clock;architecture art of clock iscomponent counter10 isport(clk,reset,set: in std_logic;ain:in std_logic_vector(3 downto 0);aout:out std_logic_vector(3 downto 0);co:out std_logic);end component;component counter6 isport(clk,reset,set: in std_logic;ain:in std_logic_vector(3 downto 0);aout:out std_logic_vector(3 downto 0);co:out std_logic);end component;component counter24 isport(clk,reset,set: in std_logic;ainh,ainl:std_logic_vector(3 downto 0);aout:out std_logic_vector(7 downto 0));end component;component led7 isport(ain: in std_logic_vector(3 downto 0);aout:out std_logic_vector(6 downto 0));end component;signal cs0,cs1,cm0,cm1:std_logic;signal s0,s1,m0,m1,h0,h1,cout:std_logic_vector(3 downto 0);signal h:std_logic_vector(7 downto 0);signal count:std_logic_vector(2 downto 0);beginh0<=h(3 downto 0);h1<=h(7 downto 4);u1:counter10 port map(clk=>clk,reset=>reset,set=>b1,ain=>b2,aout=>s0,co=>cs0);u2:counter6 port map(clk=>cs0,reset=>reset,set=>b1,ain=>b2,aout=>s1,co=>cs1);u3:counter10port map(clk=>cs1,reset=>reset,set=>setmin,ain=>minutell,aout=>m0,co=>cm0);u4:counter6port map(clk=>cm0,reset=>reset,set=>setmin,ain=>minutehh,aout=>m1,co=>cm1);u5:counter24port map(clk=>cm1,reset=>reset,set=>sethour,ainl=>hourll,ainh=>hourhh,aout=>h);u6:led7 port map(ain=>cout,aout=>dout);secondl<=s0;secondh<=s1;minutel<=m0;minuteh<=m1;hourl<=h0;hourh<=h1;process(m1,m0,s1,s0)beginif(m1="0101" and m0="1001" and s1="0101" and s0="1001")then singing<='1';light<='1';else singing<='0';light<='0';end if;end process;process(clks)beginif(clks'event and clks='1') thenif (count="101") thencount<="000";else count<=count+1;end if;s<=count;CASE count ISwhen"000"=> cout<=s0;when"001"=> cout<=s1;when"010"=> cout<=m0;s<="010";when"011"=> cout<=m1 ;when"100"=> cout<=h0;when"101"=> cout<=h1;when others=> cout<="0000";end case;end if;end process;end art;三、调试过程(一)仿真波形1、6 进制程序仿真波形2、10 进制程仿真波形3、24 进制程序仿真波形4、系统程序仿真波形(二)剖析问题 1:u6:led7 port map(ain=>secondl,aout=>second0);u7:led7 port map(ain=>secondh,aout=>second1);u8:led7 port map(ain=>minutel,aout=>minute0);u9:led7 port map(ain=>minuteh,aout=>minute1);u10:led7 port map(ain=>hourl,aout=>hour0);u11:led7 port map(ain=>hourh,aout=>hour1);问题剖析:元件例化是并行语句,按此段代码LDE 并行显示,每一个数码管都需要八个端口,这样就需要八排插口,而试验箱只有一排端口。
eda的简易课程设计
eda的简易课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用EDA软件进行简易电路设计与仿真,了解电路的基本原理。
3. 学生掌握数字电路基础知识,能对简易数字电路进行设计和分析。
技能目标:1. 学生能够独立操作EDA软件,完成基本电路的绘制、仿真和调试。
2. 学生具备基本电路分析能力,能够根据电路图分析电路性能和潜在问题。
3. 学生具备团队协作和沟通能力,能够与他人共同完成复杂电路设计任务。
情感态度价值观目标:1. 学生培养对电子工程的兴趣,激发创新精神和实践能力。
2. 学生树立正确的价值观,认识到科技发展对国家和社会的重要性。
3. 学生养成严谨、细致的学习态度,提高自我管理和自主学习能力。
课程性质:本课程为实践性较强的电子工程专业课程,注重培养学生的动手能力和实际操作技能。
学生特点:学生具备一定的电子基础知识和计算机操作能力,对新鲜事物充满好奇心,善于团队合作。
教学要求:教师需结合学生特点和课程性质,采用案例教学、分组讨论、实践操作等多种教学方法,激发学生学习兴趣,提高教学效果。
同时,注重过程评价,关注学生在课程学习中的实际表现和成果产出。
通过本课程的学习,使学生能够达到上述课程目标,为后续相关课程的学习和实际工作打下基础。
二、教学内容本课程以《电子设计自动化》教材为基础,结合课程目标,选择以下教学内容:1. EDA基本概念与原理:介绍EDA技术的发展、基本概念和原理,使学生了解EDA技术在现代电子设计中的应用。
教学内容:(1)EDA技术的起源与发展趋势(2)EDA软件的组成和基本功能2. EDA软件操作与使用:以实际操作为主,培养学生熟练使用EDA软件的能力。
教学内容:(1)EDA软件的安装与界面介绍(2)基本操作:绘制电路图、元件库的使用、原理图设计3. 简易电路设计与仿真:通过实际案例,使学生掌握简易电路设计与仿真的方法。
EDA课程设计报告格式1
燕山大学课程设计说明书题目:自动绕线机学院(系):电气工程学院学号:学生姓名:指导教师:教师职称:实验师年级专业: 10级电力系统燕山大学课程设计(论文)任务书院(系):电气工程学院基层教学单位:电子实验中心说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份。
2012年12 月 14日目录示例目录第1章设计说明 (5)1.1设计思路 (5)1.2模块介绍 (5)1.3真值表 (6)第2章原理图 (12)第3章波形仿真图 (13)第4章管脚锁定及硬件连接 (13)总结 (14)参考文献 (15)一、设计说明1、设计思路当开关sw1拨到高电平时,通过由两片74160组成的20计数器开始记录电机小圈旋转匝数,并将输出信号输给译码器和数码管组成的译码显示装置,用来显示小圈旋转匝数,当小圈旋转到20匝的时候计数器停止工作,其译码管的显示结果保持显示20,同时给由相同芯片组成的30计数器和译码显示装置提示信号,使其开始工作,对中圈的旋转匝数计数并显示中圈的旋转匝数,当中圈的旋转匝数到30匝时,30计数器停止工作,其译码管的显示结果保持显示30,与此同时给40计数器和由相同芯片组成的40计数器和译码显示装置提示信号,并使其开始工作,对大圈的旋转匝数开始计数并由译码显示装置显示大圈旋转匝数。
当40计数器计数到40时,六个译码管将显示出 20 30 40 ,保持不变,同时提供由74160计数器和蜂鸣器组成的装置一个信号,蜂鸣器响2秒后,由74160计数器提供一个截止信号,使74160计数器停止计数,蜂鸣器停止工作。
当sw1打到低电平,计数器复位清零。
2、模块介绍自动绕线机电路由五个模块组成,它们分别是:①控制圈数计数器②电机旋转圈数显示器③控制时钟脉冲分频器④控制蜂鸣响应器⑤复位\启动器。
其中①控制圈数计数器有三个优先级不同计数器组成,分别是20、30、40计数器,它们又分别由两个十进制74160计数器改装而成,实现对电机旋小圈20匝、中圈30匝、大圈40匝的依次计数,其中小圈的技术优先级最高。
EDA课设完整版
燕山大学课程设计说明书第一章设计思路1.1 设计目的及解决方法1.2 模块介绍 1.3 真值表第二章设计原理图第四章4.1 管脚锁定4.2 硬件连接第五章心得体会燕山大学课程设计说明书第一章设计思路1.1 设计目的及解决方法根据任务书可以得到以下任务目的及解决方法:1) 选用行扫描,用一片3-8 译码器进行;2) 分别用一片3-8 译码器控制列,与控制行的3-8 译码器配合,在8-8 矩阵中分别显示出“E” “D” “A” ;3) 为达到行扫描的目的和保证控制行的与控制列的3-8 译码器同步,选用一片八进制计数器同时控制四个3-8 译码器;4)为达到“E” “D”“A”分别显示和视觉延时效果,用一片计数器的不同数制分别控制三个控制列的译码器5)为了达到“E” “D”“A”的视觉延时效果,需要控制行扫描的八进制计数器的时钟脉冲频率高,而控制列的计数器的时钟脉冲频率低(并且要保证高频率是低频率的8 的整数倍)。
1.2 模块介绍根据设计目的及解决方法可以将整个设计分为四个模块:模块一:控制行扫描的模块用一片3-8 译码器控制行低电平从第一行到第八行依次出现模块二:控制显示“E” “A”的模块“D” 共15 页第4页燕山大学课程设计说明书根据真值表,分别用一片3-8 译码器配合模块一控制列电平的高低是8-8 矩阵依次显示“E” “D” “A”模块三:基本扫描模块:即控制四片3-8 译码器基本扫描的八进制译码器其中输入为高频率时钟信号模块四:片选延时模块即控制显示模块的计数器,这里的输入为低频率时钟信号(模块三的高频输入信号是这里低频信号的8 的整数倍)1.3 真值表基本扫描频率真值表模显示“E”“D” “A”真值表输入:C,为高频率时钟信号C1,为低频率时钟信号输出:Y20,Y21,Y22,Y23,Y24,Y25, Y26, Y27,为控制行的输出信号Y0,Y1,Y2,Y3,Y4,Y5,Y6,Y7,为控制LED 的输出信号第三章波形仿真图因篇幅限制,波形仿真图中只连续出现两次E,两次D,两次A,已达到视觉延时效果。
EDA数字频率计课程设计说明书
目录第一章设计任务及要求 (1)1.1设计任务 (1)1.2设计要求 (1)1.2.1整体功能要求 (1)1.2.1测试要求 (1)第二章设计思路............................................... 错误!未定义书签。
2.1数字频率计介绍..................................................................................... 错误!未定义书签。
2.2设计原理................................................................................................. 错误!未定义书签。
2.2.1频率测量的基本原理................................ 错误!未定义书签。
2.2.2整体方框图及原理.................................. 错误!未定义书签。
第三章模块介绍 (4)3.1闸门产生模块 (4)3.1.1闸门模块介绍 (4)3.1.2闸门模块verilog语言程序描述及仿真 (4)3.2计数模块 (5)3.2.1计数模块介绍 (5)3.2.2计数模块模块verilog语言程序描述及仿真 (5)3.3锁存器模块 (6)3.3.1锁存器模块介绍 (6)3.3.2锁存器模块verilog语言程序描述及仿真 (6)3.4译码器模块 (7)3.4.1译码器模块介绍 (7)3.4.2闸门模块verilog语言程序描述及仿真 (7)3.5扫描显示模块 (8)3.5.1扫描显示模块介绍 (8)3.5.2扫描显示模块verilog语言程序描述及仿真 (9)第四章数字频率计的实现 (10)4.1数字频率计的verilog语言程序描述及仿真 (10)4.2数字频率计的FPGA芯片实现 (14)第五章心得体会 (15)第一章设计任务及要求1.1设计任务采用测频法设计一个数字显示的数字频率计,被测试的频率可由基准频率分频得到。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
<<EDA技术>>课程设计说明书
题目
院、部:
学生姓名:
指导教师:王晓丽职称助教
专业:
班级:
完成时间:
摘要(三号,黑体,居中,字间空两格字符)
(空二行换行)
空4格打印摘要内容(小四号宋体,行距20)。
关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。
ABSTRACT
①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。
②摘要内容每段开头留四个空字符。
③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。
Key words :aaa;bbb;ccc
目录(3号,黑体,居中)
(空1行,以小4号黑体设置字体及大小,行间距22、字间距标准)
1 XXXXXX…………………………………………………………………………
1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX………………………………………………………………………
┇
2 XXXXXX…………………………………………………………………………
2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX………………………………………………………………………
┇
3
4
结束语
参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………
正文
1 ××××(小3号,黑体,行距30)
(空2行)
1.1 XXXXXX (4号,黑体,左起,行距30)
1.1.1 XXXXXX(小4号,黑体,左起,行距26)
(1)XXXXXX(小4号,黑体,左起,行距20)(一般不设四级标题,如确属需要,第四层+
正文:空4格左起以小四号宋体打印正文,行距20。
注:
1、表格:按章顺序编号,表内必须按规定的符号标注单位。
(1)统一使用三线表,顶线、底线为1½磅实线,中间线为1磅实线。
(2)每个表格都有中文表序和表名。
全文的表格连续排序,如“表1”、“表2”……。
表序与表名之间空一字宽,表名不允许使用标点符号。
表序与表名置于表上方,居中排写,中文用黑体五号字。
表中内容采用宋体五号字。
表格下页接写时,表题可省略,表头应重复写,并在右上方写"续表×"(黑体五号字)。
(3)表头中可采用化学符号或物理量符号。
全表如用同一单位,将单位符号移到表头右上角,加圆括号。
数字空缺的格内加“—”字线(占1个字宽)。
表内文字和数字上、下或左、右相同时,不允许用“‥”、“同上”之类的写法。
数据的精确程度由各学院根据自身学科特点统一规定。
表中项目注释可在该项目右上角用阿拉伯数字加半边括号标出,相关项目在表下用文字注释。
(4)课程设计(论文)“表”的样式:
表1 不同浓缩技术终产品主要品质分析(五号黑体)
(五号Times New Roman)
样品茶多酚(%) 氨基酸(%) 咖啡碱(%) 固形物(%)
原始样1) 19.01 2.07 6.21 —
……
(下页接写时:)续表1
样品茶多酚(%) 氨基酸(%) 咖啡碱(%) 固形物(%)
微滤样 21.91 2.23 6.50 07.92
……
注:(中文注释)1)……。
(Notes)1)……
2、图
(1)插图与其图题为一个整体,不得拆开排写于两页。
插图应编排在正文提及之后,插图处的该页空白不够时,则可将其后文字部分提前排写,将图移到次页最前面。
(2)每个图的图题均有文字说明。
全文的图连续排序,如“图1”、“图2”……。
图名在图序之后空一字宽排写,置于图下方居中处,用黑体五号字。
图中各项文字说明置于图题之上(有分图题者,置于分图题之上),采用宋体小五号字。
引用图应说明出处,在图题右上角加引用文献编号“[×]”。
(3)课程设计(论文)“图”的样式:
图1 霉菌菌株R1的菌丝(五号黑体)
3、名词缩写
使用外文缩写代替某一名词术语时,首次出现应在括号内注明含义,如CPU (Central Processing Unit,计算机中央处理器)。
4、公式
公式应另起一行书写,一行写不完的长公式,最好在等号处或在运算符号处转行。
全文连续排序,编号用(1)、(2)…表示,示于公式行末右端,如“××××(1)”。
文中引用某一公式时,应写成“由公式(×)可见……”。
参考文献(三号、黑体、居中)
(标题下空一行,以小四号宋体打印参考文献。
行距20)
参考文献格式示例:
1 专著著录格式
[序号]著者.书名[M].版本(第一版不写).出版地:出版者,出版年.起止页码
例:
[1]孙家广,杨长青.计算机图形学[M].北京:清华大学出版社,1995.26~28
2 期刊著录格式
[序号]作者.题名[J].刊名,出版年份,卷号(期号):起止页码
例:
[3]李旭东,宗光华,毕树生,等.生物工程微操作机器人视觉系统的研究[J].北京航空航天大学学报,2002,28(3):249~252
3论文集著录格式
[序号]作者.题名[A].见(英文用In):主编.论文集名[C].出版地:出版者,出版年.起止页码例:
[4]张佐光,张晓宏,仲伟虹,等.多相混杂纤维复合材料拉伸行为分析[A].见:张为民编.第九届全国复合材料学术会议论文集(下册)[C].北京:世界图书出版公司,1996.410~416
参考文献
专著论文集报纸文章期刊文章学位论文报告标准专利类型
文献类型
M C N J D R S P 标识
表3 电子参考文献类型及其标识
电子参考文献类型数据库计算机程序电子公告
电子文献类型标识DB CP EB
致谢(三号、黑体、居中)
(空2行,换行后以小四号宋体打印正文,行距20)
附录(三号、黑体、居中)
(附录下空2行,换行后打印以下内容)。