西工大-数电实验-第二次实验-实验报告
数字电路实验二实验报告
实验二门电路逻辑变换一.实验目的1 学会门电路逻辑变换的基本方法。
2 掌握虚拟实验逻辑转换器的使用方法。
二.实验设备安装有Multsim10软件的个人电脑。
三.实验原理图2 1是门电路逻辑变换实验原理图。
3个与非门和1个与门按图中的连接,表达为同或门的逻辑功能。
图2—1四.实验步骤1 打开电脑Multsim10操作平台。
从元件库中取出与非门3个、与门1个,以及双刀开关两个、电阻器、电源等,连接组成图2 -2的实验电路。
2 打开工作开关,电路工作正常后,依次拨动开关J1与J2,观察探针的变化。
开关J1与J2转接电源端为H_接地端为L;探针发亮为H_熄灭为L,将观察结果填入表2- 1。
表2-1J1 J2 探针L L HL H LH L LH H H图2—21)J1接电源,J2接地2)J1接地,J2接电源3)J1接地,J2接地4)J1接电源,J2接电源3将表2- 1变换为如下表2-2的真值表。
开关J1为A,J2为B,H为“1”,L为“0”;探针x1为F发亮为“1”,熄灭为“0”。
表2-2A B F0 0 10 1 01 0 01 1 14 按上述图2-2写出逻辑表达式为BAF,根据真值表及=BA∙+∙逻辑表达式判断,它是一个同或门电路。
5 逻辑转换器的使用重新设置Multisim仿真工作界面,运用逻辑转换器,转换出逻辑表达式为BF+=的门电路逻辑图,然后配置开关、探针等,并将电ABA路仿真运转验证,列出实验验证结果(例如上述表2-1)。
应注意,在逻辑转换器中,逻辑表达式有不同,要用“’”表示求反,例如用A’来表示A的求反即A,其它类似。
1)点击simulate-----instruments------logic converter,打开逻辑转换仪。
2)设计出逻辑函数表达式为:B=,如图1所示。
F+ABA3)点击右边第五个图标,把逻辑表达式转换为与,或非门电路,如图2所示。
4)点击右边第六个图标,把逻辑表达式转换为与非门电路,如图3所示。
新版西电微机原理第二次上机实验报告-新版-精选.pdf
西电微机原理第二次上机实验报告学号:姓名:一、实验目的1.熟练掌握汇编语言程序设计的方法及上机步骤。
2.掌握算术运算中,十进制数调整指令的应用。
3.掌握子程序的设计方法。
4.掌握DOS功能的调用方法。
二、实验仪器586微机 1台三、实验内容编写求十进制数12678532与21736543之和的程序,并将和以十进制数的形式送屏幕显示。
编程要求与提示:[1] 两个加数均以压缩(组合)十进制数形式存放在ADD1和ADD2为首址的存贮器单元。
[2] 和以压缩十进制数的形式存入SUM以下单元。
[3] 将和送到屏幕显示部分功能的实现采用子程序的形式。
[4] 实验步骤如下:a. 用全屏幕编辑软件建立源程序。
b.用masm.exe汇编程序对源程序进行汇编,形成目标程序。
c. 用link.exe连接程序对目标程序进行连接形成可执行文件。
d. 用DEBUG对连接通过的可执行程序进行调试。
四、实验内容对应的源程序及流程源程序如下:STACK SEGMENT STACKDB 10H DUP(00)STACK ENDSDATA SEGMENTADD1 DB 12H,67H,85H,32HADD2 DB 21H,73H,65H,43HSUM DB 4H DUP(?)DATA ENDSCODE SEGMENTASSUME CS:CODE,DS:DATA,ES:DATA,SS:STACKSTART:MOV AX, DATAMOV DS, AXMOV AX, DATAMOV ES, AXMOV AX,STACKMOV SS,AXLEA SI, ADD1[3]LEA BX, ADD2[3]LEA DI,SUM[3]MOV CX,4CLCL1:MOV AL,[SI]ADC AL,[BX]DAAMOV [DI],ALDEC SIDEC BXDEC DILOOP L1CALL DISPAL; ------------EXITPROC:MOV AH,4CH ;结束程序MOV AH,1INT 21H; ------------DISPAL PROC NEARPUSH AXPUSH BXPUSH CXPUSH DXPUSH DILEA DI,SUMMOV CX,4DISPAL2:MOV AL,[DI]SHR AL,1SHR AL,1SHR AL,1SHR AL,1MOV AH,2MOV DL,ALADD DL,30HINT 21HMOV AL,[DI]AND AL,0FHMOV AH,2MOV DL,ALADD DL,30HINT 21HINC DILOOP DISPAL2POP DIPOP DXPOP CXPOP BXPOP AXRETDISPAL ENDPCODE ENDSEND START运行结果如下:五、问题讨论1.在以十进制数形式参加运算的程序设计中,应注意那些问题。
数电实验报告实验
一、实验目的1. 理解和掌握数字电路的基本原理和设计方法。
2. 培养动手能力和实验技能。
3. 提高分析问题和解决问题的能力。
二、实验原理数字电路是一种以二进制为基础的电路,其基本元件是逻辑门和触发器。
本实验主要涉及以下几种逻辑门:与门、或门、非门、异或门、同或门、与非门、或非门等。
1. 与门(AND Gate):当所有输入端都为高电平时,输出才为高电平。
2. 或门(OR Gate):当至少一个输入端为高电平时,输出为高电平。
3. 非门(NOT Gate):对输入信号取反。
4. 异或门(XOR Gate):当输入端信号不同时,输出为高电平。
5. 同或门(NOR Gate):当输入端信号相同时,输出为高电平。
6. 与非门(NAND Gate):与门和非门的组合。
7. 或非门(NOR Gate):或门和非门的组合。
三、实验器材1. 数字电路实验箱2. 逻辑门芯片3. 电源4. 连接线5. 测试仪器四、实验步骤1. 组成基本逻辑门电路:根据实验原理,搭建与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路。
2. 测试电路功能:使用测试仪器对搭建的电路进行测试,验证电路是否满足基本逻辑功能。
3. 组成组合逻辑电路:根据实验要求,搭建组合逻辑电路,如全加器、半加器、译码器、编码器等。
4. 测试组合逻辑电路:使用测试仪器对搭建的组合逻辑电路进行测试,验证电路是否满足设计要求。
5. 组成时序逻辑电路:根据实验要求,搭建时序逻辑电路,如触发器、计数器、寄存器等。
6. 测试时序逻辑电路:使用测试仪器对搭建的时序逻辑电路进行测试,验证电路是否满足设计要求。
五、实验结果与分析1. 基本逻辑门电路测试结果:根据测试数据,搭建的与门、或门、非门、异或门、同或门、与非门、或非门等基本逻辑门电路均满足设计要求。
2. 组合逻辑电路测试结果:根据测试数据,搭建的全加器、半加器、译码器、编码器等组合逻辑电路均满足设计要求。
西工大_数电实验_第二次实验_实验报告
数电实验2一.实验目的1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。
2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。
3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。
4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。
二.实验设备1.Quartus开发环境2.ED0开发板三.实验内容要求1:编写一个异或门逻辑电路,编译程序如下。
1)用 QuartusII 波形仿真验证;2)下载到DE0 开发板验证。
要求2:编写一个将二进制码转换成 0-F 的七段码译码器。
1)用 QuartusII 波形仿真验证;2)下载到 DE0 开发板,利用开发板上的数码管验证。
要求3:编写一个计数器。
1)用QuartusII 波形仿真验证;2)下载到 DE0 开发板验证。
要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。
1)下载到 DE0 开发板验证。
(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。
2)电路框图如下:扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。
(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5)四.实验原理1.实验1实现异或门逻辑电路,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EXORGATE ISPORT(A,B:IN STD_LOGIC;C:OUT STD_LOGIC);END EXORGATE;ARCHITECTURE fwm OF EXORGATE ISBEGINC<=A XOR B;END;2.实验2实现一个将二进制码转换成0-F的七段译码器,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sevendecoder ISPORT (data_in:IN STD_LOGIC_VECTOR(3 DOWNTO 0);dis_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END sevendecoder;ARCHITECTURE fwm OF sevendecoder ISBEGINPROCESS(data_in)BEGINCASE data_in ISWHEN"0000"=>dis_out<="1000000";--显示 0WHEN"0001"=>dis_out<="1111001";--显示 1WHEN"0010"=>dis_out<="0100100";--显示 2WHEN"0011"=>dis_out<="0110000";--显示 3WHEN"0100"=>dis_out<="0011001";--显示 4WHEN"0101"=>dis_out<="0010010";--显示 5WHEN"0110"=>dis_out<="0000010";--显示 6WHEN"0111"=>dis_out<="1111000";--显示 7WHEN"1000"=>dis_out<="0000000";--显示 8WHEN"1001"=>dis_out<="0010000";--显示 9WHEN"1010"=>dis_out<="0001000";--显示 AWHEN"1011"=>dis_out<="0000011";--显示 bWHEN"1100"=>dis_out<="1000110";--显示 CWHEN"1101"=>dis_out<="0100001";--显示 dWHEN"1110"=>dis_out<="0000110";--显示 EWHEN"1111"=>dis_out<="0001110";--显示 FWHEN OTHERS=> dis_out<="1111111";--灭灯,不显示END CASE;END PROCESS;END fwm;3.实验3完成一个计数器,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY counter ISPORT ( clk,RST : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); --四位计数COUT : OUT STD_LOGIC); --进位位END counter;ARCHITECTURE fwm OF counter ISSIGNAL Q1 : STD_LOGIC_VECTOR (3 DOWNTO 0);BEGINPROCESS(clk,RST)BEGINIF RST = '0' THEN Q1<=(OTHERS => '0'); COUT<= '0';ELSIF clk'EVENT AND clk='1' THENQ1<=Q1+1;COUT<= '0';IF Q1 >= "1001" THEN Q1<=(OTHERS => '0'); COUT<= '1';END IF;END IF;END PROCESS;DOUT<=Q1 ;END fwm;4.实验4编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY fpq ISPORT(clk:IN STD_LOGIC;clk_out,clk_out1:OUT STD_LOGIC);END fpq;ARCHITECTURE fwm OF fpq ISCONSTANT m : INTEGER:= 25000000; --50M 分频到 1Hz 时=25000000。
西工大模电实验实验二:集成运算放大器
集成运算放大器
一、实验目的
(1)了解并掌握由运算放大器组成的比例、加法、减法和积分等基本运算电路的功能。
(2)掌握集成运算放大器的基本应用,为综合应用奠定基础。
(3)进一步熟悉仿真软件的使用。
二、实验原理
集成运放是一种具有高电压放大倍数的直接耦合器件。
当外部接入有不同的线性或非线性元器件组成的输入负反馈电路时,可以灵活的实现各种函数关系,在线性应用方面,可组成加法、减法、比例。
积分、微分、对数等模拟运算电路。
在大多数情况下,将运放视为理想的,即在一般讨论中,以下三条基本结论是普遍使用的:
1)开环电压增益∞=u A
2)运放的两个输入端电压近似相等,即-V V =+,称为“虚短”。
3)运放的同相和反相两个输入端的电流可视为零,即0I I -==+,称为“虚断”。
应用理想运放的三条基本原则,可简化运放电路计算,得出本次实验结论。
三、实验内容及步骤(一)计算机仿真
【减法电路】
【加法电路】
(二)实验室操作部分
实验硬件电路图及示波器结果图示:1.反向比例运算电路:
2.加法电路:
3.减法电路
四、实验分析
(1)误差分析:本次试验结果接近理论值,误差很小,主要由于仿真计算和电阻的误差所致,较好地完成实验。
(2)实验中的思考:1.如果输入三角波,根据数学积分结果是一个抛物线,进行仿真
得到相似的结果。
2.如果反向加法电路均输入正弦波,当满足下述条件时候,才能
得到稳定的正弦波:净输入的两种正弦波在相位上要满足
φA-φB=2nπ
3.注意集成块的针脚位置与方向,注意电流大小。
西北工业大学数电实验报告1
实验1 TTL集成逻辑门参数测试学号:姓名:日期:组号:一、实验目的:(1)加深了解TTL逻辑门的参数意义。
(2)掌握TTL逻辑门电路的主要参数及测量方法。
(3)认识各种电路及掌握空闲端处理方法。
二、实验设备:数字电路实验箱,数字双踪示波器,函数信号发生器,数字万用表,74LS00,电位器,电阻。
三、实验原理:门电路是数字逻辑电路的基本组成单元,目前使用最普遍的双极型数字集成电路是TTL 逻辑门电路。
TTL集成电路的使用规则:(1)插集成块时,要认清定位标记,不得插反。
(2)使用电源电压范围为+4.5V~+5.5V。
实验中要求使用Vcc=+5V。
电源极性不允许接错。
(3)空闲输入端处理方法。
悬空,相当于正逻辑“1”,一般小规模集成电路的数据输入端允许悬空处理。
但易受外界干扰,导致电路逻辑功能不正常。
因此,对于接有长线的输入端,中规模以上的集成电路和使用集成电路较多的复杂电路,所有控制输入端必须按逻辑要求接入电路,不允许悬空。
(4)输入端通过电阻接地,电阻值的大小将直接影响电路所处状态。
(5)输出端不允许并联使用(三态门和OC门除外),否则不仅会使电路逻辑功能混乱,并会导致器件损坏。
(6)输出端不允许直接接电源Vcc,不允许直接接地,否则会损坏器件。
四、实验内容:1、TTL信号的产生2、与非门的测试3、用74LS00实现逻辑函数:F=ABF=A+BF=A○+B五、实验结果:F=AB=AB∙1A∙∙B∙1F=A+B=1∙∙B∙ABF=A○+B=A AB。
西安工业大学模电仿真实验2实验报告
实验2 负反馈放大电路仿真实验一、实验目的(1)进一步熟悉multisim软件的使用方法(2)学会使用multisim软件对负反馈放大电路进行仿真分析(3)研究负反馈对放大电路性能的影响(4)掌握负反馈电路的测试方法二、实验原理1.总的电压放大倍数:Au=U02/Ui=(U01/Ui)(U02/U01)=Au1Au2电路输入端加入了一个分压器,其作用是对信号源Uis进行衰减,以方便调节Ui的大小。
2.负反馈放大器的一般表示式为Af=A/(1+AF)无反馈时的上限频率和下限频率;闭环时的上限频率和下限频fHf=fH(1+AF),fLf=fL/(1+AF)负反馈放大器的输入、输出电阻Rif=Ri(1+AF)(串联负反馈),Rif=Ri/(1+AF)(并联负反馈)Rof=Ro/(1+AF)(电压负反馈),Rof=Ro(1+AF)(电流负反馈)三、实验内容及步骤1、组建负反馈放大仿真电路2、静态工作点测试(1)输入1KHz,有效值1mV(或者峰值1.414vP)的正弦交流信号,用示波器监测电路开环、负载开路情况下的波形不失真。
波形图:(2)利用直流工作点分析法(DC Operating Point Analysis)来分析和计算电路Q点,分析数据并记录在表1中。
表1 静态工作点数据三极管Q1 三极管Q2V b(V))V c(V))V e(V) V b(V))V c(V))V e(V)8.52 1.42 0.75 8.08 3.37 2.683、负反馈放大电路开环、闭环放大倍数的测试调用示波器监测输出端波形,调用交流毫伏表(用万用表的交流档代替)测量表2中相关数据,并计算。
(1)开环电路测试(2)闭环电路测试(3)ΔA/A=(Auo-AuL)/Auo4、负反馈对放大电路的频率特性的影响(1)调出“波特分析仪”,并连入电路中。
(2)使用读数指针读出电路在开环、闭环下的上下限频率,将数据记录在表3中。
四、思考题试分析负反馈的引入对放大电路性能的影响?1. 增大Rp的电阻值,将使三极管的静态工作点下移,造成三极管对输入信号的下班波相应的动态范围不足,造成输出失真。
西工大 数字集成电路实验二、反相器(下)
数字集成电路实验报告西北工业大学2014年5月12日星期一实验二、反相器(下)三、分析如下电路,解答下面的问题。
上面的电路用两种方式实现了反相器。
左图只使用了NMOS,右图则使用了CMOS(NMOS 和PMOS)。
试完成:ΦF=‐0.3V1.仿真得到两个电路的VTC 图形黄色的线条表示的是第一个反相器的电压传输特性曲线,红色的线条表示的是第二个电压传输特性曲线。
2.计算两种电路的VOH,VOL及VM。
可参考波形确定管子的工作状态。
答:对于第一个电路构成的反相器而言当vin = 0的时候,M1截止,M2导通,输出电压vout = 2.5-0.43 = 2.07V 即 V V OH 07.2=当vin = 2.5V 的时候,M1、M2均导通,且M1处于线性区,M2处于饱和区(速度饱和),通过两个 管子的电流相等,所以有]2/)[()(]2/)[()(2111'222'DS DS TH GS M DSTA DSTA TH DD M DSAT V V V V L W K I V V V V L W K I -⨯-⨯⨯=-⨯-⨯⨯=由于存在体效应M2的阈值电压变为)22(0F SB F T T V V V φφγ-+-+= 其中out SB V V =解得=OL V 0.287V接着计算开关阈值M V ,此时,输入等于输出,判定M1与M2都处于饱和区。
]2/)[()(]2/)[()(2111'12222'2DSSAT DSAT TH GS M n D DSAT DSAT TH GS M n DSATM V V V V LW K I V V V V LW K I -⨯-⨯⨯=-⨯-⨯⨯= 而且OUT IN SB M V V V V ===求得V 995.0=M V而对于CMOS 反相器来说当vin = 0的时候,V V OH 5.2=当vin = 2.5V 的时候,0=OL V接着计算开关阈值M V ,此时,输入等于输出,判定M3与M4都处于饱和区。
西工大数字集成电路实验报告_实验2反相器(上)代码
1. 2. 计算出这个电路的V OH V OL 及V IH V IL 。
(计算可先排除速度饱和的可能)V in =0时,V OH =2.5VV in=2.5时,假设NMOS 工作在临界饱和区:AI V R I vV V V A I V V L W K I D out L D T in out D T in D61142`1073.55.207.243.05.21039.7)(2/--⨯=⇒+=⎪⎩⎪⎨⎧=-=-=⨯=⇒-⨯=这样的话根据D D I I <1,器件实际工作在线性区⎪⎪⎪⎩⎪⎪⎪⎨⎧=+=--=v V V R I V V V V L W KI in OL L D OL OL T in D 5.25.2]2)[(2`6`10115-⨯=K 将, 5.0/5.1=L W,43.0=T V 代入kohm R L 75=解得:=OL V 0.04633V由图得:V OH =2.5V, V OL =0.0356V. 当out in V V =时,NMOS 工作在饱和区⎪⎩⎪⎨⎧+=-⨯=outL D T in D V R I V V L W K I 5.2)(2/2`反相器阈值电压===out in M V V V 0.7932 此时 -6.8978)43.0(875.255.2,)43.0(9375.125.22=--==--=in VinVoutin out V d d g V V ⎪⎪⎩⎪⎪⎨⎧=--==+=0.5458||0.9082||g V V V V g V V V M OH M IL M M IH由图得:V IH=0.881V, V IL=0.0378V.SP文件:.TITLE 1.2UM CMOS INVERTER.options probe.options tnom=25.options ingold=2 limpts=30000 method=gear.options lvltim=2 imax=20 gmindc=1.0e-12.protect.lib'C:\synopsys\cmos25_level49.lib' TT.unprotect.global vddMn out in 0 0 NMOS W=1.5u L=0.5u *(工艺中要求尺寸最大0.5u)RL OUT VDD 75kVDD VDD 0 2.5VVIN IN 0 0.DC VIN 0 2.5V 0.1V.op.probe dc v(out).end2.3.分析电路噪声容限。
西工大数电实验报告二
实验二 半加器、全加器
学号: 姓名: 日期:
一、实验目的:
(1)掌握全加器和半加器的逻辑功能。
(2)熟悉集成加法器的使用方法。
(3)了解算术运算电路的结构。
二、实验设备:
数字电路实验箱,74LS00,74LS86。
三、实验原理:
两个二进制数相加,叫做半加,实现半加操作的电路,称为半加器。
A 表示被加数,B 表示加数,S 表示半加和,以表示向高位的进位。
全加器能进行加数,被加数和低位来的信号相加,并根据求和的结果给出该位的进位信号。
四、实验内容:
1、 半加器,M=0时实现半加功能,当M=1时实现半减功能。
2、 全加器,M=0时实现全加功能,当M=1时实现全减功能。
五、实验结果:
1、 半加器:S=A ○+B ,CO=()B A M ⊕
2、 全加器:S= A ○+B ○+C ,CO= ()()BCI M A B C ∙⊕⊕
经验证,结果与理论相符。
西工大数电实验报告一
数字电子技术基础实验报告姓名: 班级: 学号:实验日期:年月日实验一:TTL 集成逻辑门的参数测试一、实验目的(1) 把握TTL 与非门各参数的物理意义及测试方式。
(2) 把握TTL 器件的利用规那么。
(3) 把握TTL 与非门的逻辑功能。
二、实验原理本实验将对TTL 集成逻辑与非门74LS00的逻辑功能及要紧的参数进行测试。
74LS00是2输入4与非门,图1(a ),(b )为其逻辑符号及引脚排列图。
(a)(b)图1 74LS00逻辑符号及引脚排列图 (a )74LS00逻辑符号;(b )74LS00引脚排列74LS00与非门的逻辑功能当输入端有一个或一个以上是低电平常,输入端为高电平;只有当输入端全数为高电平常,输出端才是低电平。
其逻辑表达式为Y AB三、所需元件电源,示波器,面包板,与非门74LS00,导线 四、内容1.TTL 信号的产生利用面包板上的555按时器来产生方波信号并进行测试 2.测试与非门功能如下图在实验箱上连接电路,输入端与逻辑开关相连,输出端与指示灯相连。
将测试结果填入表1中,并写出与非门的逻辑表达式。
表1图2 74LS00逻辑功能测试电路五、门的逻辑变换(1) 与门:F AB =逻辑变换:1F AB AB AB ===• 电路如图3所示:开关开关图3(2) 或门:F A B =+逻辑变换:11F A B A B AB A B =+=+==•• 电路如图4所示:图4(3) 异或门:F A B =⊕逻辑变换:F A B AB AB ABB AAB ABBAAB =⊕=+=+= 电路如图3所示:图5六、测试结果1. 所得方波波形如图:2.填表1:逻辑表达式:Y AB3. 示波器的通道1接A ,通道2接Y ,B 别离接“1”(高电平)和“0”(低电平)(1) 与门B=1 B=0输入输出 A B Y 0 0 1 0 1 1 1 0 1 11(2)或门B=1B=0(3)异或门B=1 B=0七、结论用与非门能够实现与、或和异或门的逻辑链接八、体会、试探题这种集成与非门的逻辑器件,体积较小,而且能够同时实现多种逻辑电路的链接,专门大程度上简化了电路。
数电实验报告2
数电实验报告2引言:数电实验是电子信息与控制工程专业的重要实践课程之一,通过实验,我们能够深入理解数字电路的原理和应用。
本次实验报告将对数电实验2进行详细论述,通过实验结果与分析,总结实验的目的、原理和方法,并提出改进措施和未来的研究方向。
实验目的:本次实验的目的是学习和掌握数电逻辑门的工作原理、电路搭建方法和信号波形分析技巧。
逻辑门是基础的数字电路元件,熟练运用逻辑门对于后续数字电路的设计和实现至关重要。
实验原理:逻辑门是用于实现布尔逻辑运算的硬件电路。
常见的逻辑门包括与门、或门、非门、异或门等。
这些逻辑门的输出结果根据输入信号的不同情况而变化,从而实现不同逻辑运算。
实验方法:本次实验选择了与门和或门进行实验。
首先,我们根据逻辑门的真值表,计算出与门和或门的输入、输出关系。
然后,根据计算结果,搭建与门和或门的电路图。
接下来,通过数字电路实验平台,将电路图转化为实际电路,并连接正确的信号源。
最后,使用示波器观察和分析实验结果。
实验过程:1. 搭建与门电路。
根据真值表,我们得知,当两个输入信号都为高电平时,与门输出为高电平。
因此,我们需要两个开关分别控制两个输入信号。
将开关与与门的输入端连接,将与门的输出端连接至示波器。
2. 搭建或门电路。
根据真值表,我们得知,当两个输入信号中至少有一个为高电平时,或门输出为高电平。
因此,我们需要两个开关分别控制两个输入信号。
将开关与或门的输入端连接,将或门的输出端连接至示波器。
3. 调节示波器并观察波形。
将示波器的纵坐标设为适当的刻度,以便观察波形的变化。
打开开关,使得输入信号发生变化,通过示波器观察输出信号的变化,并记录下相应的波形。
实验结果与分析:通过观察示波器上的波形,我们可以清楚地看到与门和或门的输出信号与输入信号的关系。
当输入信号满足与门的输入条件时,与门输出高电平信号;当输入信号满足或门的输入条件时,或门输出高电平信号。
这与逻辑门的原理是一致的。
改进措施:在本次实验中,我们可以进一步改进实验的方法和结果。
西北工业大学数电实验报告二quartus2入门
实验二:Quartus II入门班级:姓名:学号:同组人员:一、实验目的(1)掌握Quartus Ⅱ集成开发软件的原理。
(2)熟练运用Quartus Ⅱ集成开发软件实现基本逻辑电路的实现。
(3)熟悉DEO硬件开发板的连接使用。
二、实验要求要求1:根据参考内容,用原理图输入方法实现一位全加器。
1)用QuartusII 波形仿真验证;2)下载到DE0 开发板验证。
要求2:参照参考内容,用74138 3-8 译码器和7400与非门,用原理图输入方法实现一位全减器。
1)用QuartusII 波形仿真验证;2)下载到DE0 开发板验证。
三、实验内容(一)全加器的设计与实现a.根据逻辑真值表写出逻辑函数表达式Ai和Bi分别表示加数与被加数,Ci表示低位向本位的进位,Si表示本位和,Ci1表示本位向高位的进位。
b.根据逻辑函数表达式画出电路逻辑图c.在QuartusII上绘制全加器原理图并仿真出波形,结果如下:d.下载到DEO板子上验证,实现全加器功能。
(二)全减器的设计与实现a.根据逻辑真值表写出逻辑函数表达式An和Bn分别表示被减数和减数,Cn表示低位向本位的借位,Dn 表示本位差,Cn1表示本位向高位的借位。
逻辑真值表An Bn Cn Cn1 Dn0 0 0 0 00 1 1 10 1 0 1 10 1 1 1 01 0 0 0 11 0 1 0 01 1 0 0 01 1 1 1 1b.根据逻辑函数表达式画出电路逻辑图c.在QuartusII上绘制全减器原理图并仿真出波形,结果如下:d.下载到DEO板子上验证,实现全减器功能。
四、实验心得通过这个实验,我对与非门的用法有了更深刻的理解,对设计电路也从之前的“纸上谈兵”变成了具体实践,设计以及实验完成之后很有成就感。
最重要的是我了解并掌握了Quartyrs的一些基本用法,相信在以后的学习中可以取得更深入的了解。
西工大高频第二次实验报告
实验二调幅接收系统实验一、实验目的和内容:图2为实验中的调幅接收系统结构图(虚框部分为实验重点,低噪放电路下次实验实现,本振信号由信号源产生。
)。
通过实验了解和掌握调幅接收系统,了解和掌握三极管混频器电路、中频放大/AGC电路、检波电路。
图2 调幅接收系统结构图二、实验原理:1、晶体管混频电路:给出原理图,并分析其工作原理。
原理:混频电路将高频载波信号或已调波信号经过滤波、放大,将其频率变换为固定频率的信号且该高频滤波信号的频谱内部结构和调制类型保持不变,仅仅改变其频率。
2、中频放大/AGC和检波电路:给出原理图,并分析其工作原理。
原理:中频输入信号通过中放电路放大中频信号,抑制干扰信号,连接AGC电路实现自动增益控制,接着连接二极管检波电路和低通滤波器,从中取出调制信号。
3、调幅接收系统:给出系统框图,并简述其工作原理。
检波低噪放混频中放/AGC本振工作原理:天线接收信号通过滤波器滤波然后低噪放放大幅度,晶体振荡器振荡出所需的本振信号,让本振信号和其进行混频然后滤波,AGC对其进行放大,输出稳定值,再进行滤波并解调检波,经过功率放大器输出。
三、实验步骤:1、晶体管混频电路:1)先调整静态工作点,测量2R4两端电压,调节2W1,使2R4两端电压为0;2)在V2-5输入10.455MHz,250mV的本振信号,在V2-1输入10MHz、30mV的单载波信号,在V2-3处观测,调节2C3和2B1的大小,改变中频输出,当输出为455KHz的最大不失真稳定正弦波时,完成调试并记录此时的中频输出峰峰值。
3)改变基极偏置电阻2W1,使2R4端电压分别为0.5,1,1.5,2,2.5,3V,重复上述步骤2),记录下不同静态工作点下的中频输出的峰峰值,并计算混频增益,完成表2-1.2、中频放大/AGC和检波电路:1)调节直流静态工作点:闭合开关K3,电路仅接入12v直流电压,调节可调电阻3W1、3W2,为使静态电流不超过1mA,应使3R7,3R13两端电压为0.5V,0.033V。
西北工业大学数电实验报告二Quartus和Multisim
数字电子技术基础实验报告题目:实验二组合电路实验设计小组成员:小组成员:实验二组合电路实验设计一、实验目的1.通过实验的方法学习数据选择器的电路结构和特点2.掌握数据选择器的逻辑功能及其基本应用3.通过实验的方法学习74LS138的电路结构和特点4.掌握74LS138的逻辑功能及其基本应用二、实验要求要求一:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。
(MULTISIM仿真和 FPGA 实现)要求二:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74138三线八线译码器和7420与非门,用原理图输入方法实现一位全减器。
(MULTISIM仿真和 FPGA 实现)要求三:参照参考容,调用 MAXPLUSII 库中的组合逻辑器件74138三线八线译码器和门电路,用原理图输入方法实现一个两位二进制数值比较器。
(MULTISIM 仿真和 FPGA 实现)三、实验设备(1)电脑一台;(2)数字电路实验箱;(3)数据线一根。
四、实验原理Multisim 的模拟电路编程原理Quartus II的模拟电路编译、波形仿真及目标器件写入的基本应用数字电路逻辑表达式转换的基本知识数据选择器和译码器的电路结构及其特点实验开发板的基本使用知识五、实验容1、调用 MAXPLUSII 库中的组合逻辑器件74153双四数据选择器和7400与非门,用原理图输入方法实现一位全加器。
(MULTISIM仿真和 FPGA 实现)(1)构建真值表、卡诺图及降维卡诺图真值表:真值表:S1卡诺图:C0卡诺图:降维卡诺图:(2)逻辑表达式变换过程(3)原理图(Multisim和QuartusII中绘制的原理图):Quartus II 中原理图Multisim 中原理图(4)波形仿真:(5)记录电路输出结果A B C S C00 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 12、调用 MAXPLUSII 库中的组合逻辑器件74138三线八线译码器和7420与非门,用原理图输入方法实现一位全减器。
西北工业大学-数字电子技术基础-实验报告-实验2
西北⼯业⼤学-数字电⼦技术基础-实验报告-实验2数字电⼦技术基础第⼆次实验报告⼀、题⽬代码以及波形分析1. 设计⼀款可综合的2选1多路选择器①编写模块源码module multiplexer(x1,x2,s,f);input x1,x2,s;output f;assign f=(~s&x1)|(s&x2);endmodule②测试模块`timescale 1ns/1psmodule tb_multiplexer;reg x1_test;reg x2_test;reg s_test;wire f_test;initials_test=0;always #80 s_test=~s_test;initialbeginx1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;#20x1_test=0;x2_test=0;#20x1_test=1;x2_test=0;#20x1_test=0;x2_test=1;#20x1_test=1;x2_test=1;endmultiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test));endmodule③仿真后的波形截图④对波形的分析本例⽬的是令s为控制信号,实现⼆选⼀多路选择器。
分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。
所以实现了⽬标功能。
2. 设计⼀款可综合的2-4译码器①编写模块源码module dec2to4(W,En,Y);input [1:0]W;input En;output reg [0:3]Y;always@(W,En)case({En,W})3'b100:Y=4'b1000;3'b101:Y=4'b0100;3'b110:Y=4'b0010;3'b111:Y=4'b0001;default:Y=4'b0000;endcaseendmodule②测试模块`timescale 1ns/1psmodule tb_dec2to4;reg [1:0]W_test;reg En_test;wire [0:3]Y_test;initialEn_test=0;always #80 En_test=~En_test;initialbeginW_test=2'b00;#20W_test=2'b01;#20W_test=2'b11;#20W_test=2'b10;#20W_test=2'b00;#20W_test=2'b01;#20W_test=2'b11;#20W_test=2'b10;#20W_test=2'b00;enddec2to4 UUT_dec2to4(.W(W_test),.En(En_test),.Y(Y_test));endmodule③仿真后的波形截图④对波形的分析本例⽬的是实现可综合的2-4译码器,其中数组W是输⼊信号,共有两个值,输⼊⼀个两位⼆进制数据,⽬的是通过译码器将它转换成独热码,数组Y是输出信号,输出四个⼆进制数据,构成独热码。
西工大数电实验报告
西工大数电实验报告西工大数电实验报告引言:数电实验是电子信息类专业中必不可少的一门实跃实践课程。
通过实验,我们可以更加深入地了解数字电路的原理和应用,培养我们的实践能力和创新思维。
本篇文章将对我在西工大数电实验中所进行的实验进行总结和分析,以期对今后的学习和研究有所帮助。
实验一:逻辑门的实验逻辑门是数字电路中最基本的元件,它们能够实现逻辑运算。
在本次实验中,我们使用了与门、或门和非门,通过连接它们,实现了简单的逻辑电路。
通过实验,我们更加深入地了解了逻辑门的工作原理和真值表的应用。
实验二:译码器的实验译码器是一种将输入信号转换为输出信号的电路。
在本次实验中,我们使用了译码器来实现BCD码到七段数码管的转换。
通过连接译码器和七段数码管,我们成功地将BCD码转换为了对应的数字显示。
这个实验让我们对译码器的工作原理有了更加深入的了解。
实验三:触发器的实验触发器是一种存储器件,能够存储一个比特的信息。
在本次实验中,我们使用了JK触发器和D触发器,通过连接它们,实现了一个简单的计数器电路。
通过实验,我们更加深入地了解了触发器的工作原理和时序逻辑电路的设计。
实验四:计数器的实验计数器是一种能够实现计数功能的电路。
在本次实验中,我们使用了74LS193计数器芯片,通过连接它们,实现了一个四位二进制计数器。
通过实验,我们更加深入地了解了计数器的工作原理和时序逻辑电路的设计。
实验五:存储器的实验存储器是一种能够存储和读取信息的电路。
在本次实验中,我们使用了SR锁存器和D触发器,通过连接它们,实现了一个简单的存储器电路。
通过实验,我们更加深入地了解了存储器的工作原理和时序逻辑电路的设计。
结论:通过参与西工大数电实验,我对数字电路的原理和应用有了更加深入的了解。
实验过程中,我学会了使用逻辑门、译码器、触发器、计数器和存储器等元件,成功地设计和实现了各种数字电路。
这些实验不仅培养了我的实践能力和创新思维,也为我今后的学习和研究打下了坚实的基础。
西工大数电实验二数据选择器和其应用
实验二数据选择器及其应用班级:姓名:学号:一、实验目的1) 学习数据选择器的电路结构和特点。
2)掌握数据选择器的逻辑功能及其基本应用。
二、实验内容1) 用与非门实现二选一数据选择器并测试。
建立如图所示的实验电路。
调动逻辑电平开关,使选择器地址A0为某一定值。
v为脉冲信号源(5V,1KHz,方波偏移)。
将v分别连接到数据输入端,用示波器观察选择器的输出Q 。
3)用一块74SL153及门电路设计实现一位全加器。
输入用3个逻辑开关分别代表A、B、CI,输出用2个指示灯分别代表CO、SO。
74LS00引脚图74LS153引脚图三、电路设计1)二选一数据选择器:上图为设计好的二选一数据选择器, 状态方程为F=A 0·D 0+A 0̅̅̅̅·D 1=A 0·D ̅̅̅̅̅̅̅̅0·A 0̅̅̅̅·D 1̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅̅其中,A 0为逻辑控制开关,D 0为输入信号方波,D 1为手动输入直流信号。
当A 0=0,输出F=D 1,当A 0=1,输出F=D 0。
该电路用到74LSS00D 芯片中的四个与非门和两个单刀双掷开关。
两个单刀双掷开关中一个用作A 0,另一个用作手动输入直流信号D 1。
在芯片中,A 0与D 0连接入与非门,再将A 0与空载接入一个与非门得到A 0̅̅̅̅,然后A 0̅̅̅̅与D 1连接入与非门,最后将这两个与非门的输出再接入一个与非门,将这个输出接到示波器上。
3)用一块74SL153及门电路设计实现一位全加器。
上图为用74SL153及门电路设计好的一位全加器。
该电路用到三个单刀双掷开关,上面两个分别为输入信号A和B,即加数和被加数,分别接在芯片的A和B端;第三个作为低位向高位的进位C i,开关接V cc 为1,接地为0。
一位全加器真值表为A B C i S C00 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1四选一选择器卡诺图为对于S,卡诺图为降维后,得与四选一选择器卡诺图对比得,1C0=C i, 1C1=C i̅, 1C2= C i̅, 1C3=C i对于C0,卡诺图为降维后,得1 C i 1与四选一选择器卡诺图对比得,2C0=0 , 2C1=C i, 2C2=C i,2C3=1综上所述,1C0=1C3=2C1=2C2=C i, 1C1=1C2=Ci̅,2C0=0 , 2C3=1 , 所以电路如图连接。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电实验2一.实验目的1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。
2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。
3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。
4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。
二.实验设备1.Quartus开发环境2.ED0开发板三.实验内容要求1:编写一个异或门逻辑电路,编译程序如下。
1)用 QuartusII 波形仿真验证;2)下载到DE0 开发板验证。
要求2:编写一个将二进制码转换成 0-F 的七段码译码器。
1)用 QuartusII 波形仿真验证;2)下载到 DE0 开发板,利用开发板上的数码管验证。
要求3:编写一个计数器。
1)用QuartusII 波形仿真验证;2)下载到 DE0 开发板验证。
要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。
1)下载到 DE0 开发板验证。
(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。
2)电路框图如下:扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。
(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5)四.实验原理1.实验1实现异或门逻辑电路,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY EXORGATE ISPORT(A,B:IN STD_LOGIC;C:OUT STD_LOGIC);END EXORGATE;ARCHITECTURE fwm OF EXORGATE ISBEGINC<=A XOR B;END;2.实验2实现一个将二进制码转换成0-F的七段译码器,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sevendecoder ISPORT (data_in:IN STD_LOGIC_VECTOR(3 DOWNTO 0);dis_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END sevendecoder;ARCHITECTURE fwm OF sevendecoder ISBEGINPROCESS(data_in)BEGINCASE data_in ISWHEN"0000"=>dis_out<="1000000";--显示 0WHEN"0001"=>dis_out<="1111001";--显示 1WHEN"0010"=>dis_out<="0100100";--显示 2WHEN"0011"=>dis_out<="0110000";--显示 3WHEN"0100"=>dis_out<="0011001";--显示 4WHEN"0101"=>dis_out<="0010010";--显示 5WHEN"0110"=>dis_out<="0000010";--显示 6WHEN"0111"=>dis_out<="1111000";--显示 7WHEN"1000"=>dis_out<="0000000";--显示 8WHEN"1001"=>dis_out<="0010000";--显示 9WHEN"1010"=>dis_out<="0001000";--显示 AWHEN"1011"=>dis_out<="0000011";--显示 bWHEN"1100"=>dis_out<="1000110";--显示 CWHEN"1101"=>dis_out<="0100001";--显示 dWHEN"1110"=>dis_out<="0000110";--显示 EWHEN"1111"=>dis_out<="0001110";--显示 FWHEN OTHERS=> dis_out<="1111111";--灭灯,不显示END CASE;END PROCESS;END fwm;3.实验3完成一个计数器,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY counter ISPORT ( clk,RST : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); --四位计数COUT : OUT STD_LOGIC); --进位位END counter;ARCHITECTURE fwm OF counter ISSIGNAL Q1 : STD_LOGIC_VECTOR (3 DOWNTO 0);BEGINPROCESS(clk,RST)BEGINIF RST = '0' THEN Q1<=(OTHERS => '0'); COUT<= '0';ELSIF clk'EVENT AND clk='1' THENQ1<=Q1+1;COUT<= '0';IF Q1 >= "1001" THEN Q1<=(OTHERS => '0'); COUT<= '1';END IF;END IF;END PROCESS;DOUT<=Q1 ;END fwm;4.实验4编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz,VHDL源代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY fpq ISPORT(clk:IN STD_LOGIC;clk_out,clk_out1:OUT STD_LOGIC);END fpq;ARCHITECTURE fwm OF fpq ISCONSTANT m : INTEGER:= 25000000; --50M 分频到 1Hz 时=25000000。
CONSTANT m1 : INTEGER:= 2500000; --5M 分频到 10Hz 时=2500000。
SIGNAL tmp :STD_LOGIC;SIGNAL tmp1 :STD_LOGIC;BEGINPROCESS(clk, tmp)VARIABLE cout : INTEGER:=0;BEGINIF clk'EVENT AND clk='1' THENcout:=cout+1; --计数器+1IF cout<=m THEN tmp<='0'; --计数小于等于 25000000,输出 0ELSIF cout<m*2 THEN tmp<='1'; --计数小于 50000000,输出 1ELSE cout:=0; --计数器清零END IF;END IF;END PROCESS;clk_out<=tmp; --50M分频器输出PROCESS(clk, tmp1)VARIABLE cout1 : INTEGER:=0;BEGINIF clk'EVENT AND clk='1' THENcout1:=cout1+1; --计数器+1IF cout1<=m1 THEN tmp1<='0'; --计数小于等于 2500000,输出 0 ELSIF cout1<m1*2 THEN tmp1<='1'; --计数小于 5000000,输出 1 ELSE cout1:=0; --计数器清零END IF;END IF;END PROCESS;clk_out1<=tmp1; --5M分频器输出END fwm;五:拓展内容首先需要一个分频器分频得到10Hz的时钟信号LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY tuozhan ISPORT(clk:IN STD_LOGIC;clk_out1:OUT STD_LOGIC);END tuozhan;ARCHITECTURE fwm OF tuozhan IS--CONSTANT m : INTEGER:= 25000000; --50M 分频到 1Hz 时=25000000。
CONSTANT m1 : INTEGER:= 2500000; --5M 分频到 10Hz 时=2500000。
SIGNAL tmp :STD_LOGIC;SIGNAL tmp1 :STD_LOGIC;BEGINPROCESS(clk, tmp1)VARIABLE cout1 : INTEGER:=0;BEGINIF clk'EVENT AND clk='1' THENcout1:=cout1+1; --计数器+1IF cout1<=m1 THEN tmp1<='0'; --计数小于等于 2500000,输出 0 ELSIF cout1<m1*2 THEN tmp1<='1'; --计数小于 5000000,输出 1ELSE cout1:=0; --计数器清零END IF;END IF;END PROCESS;clk_out1<=tmp1; --5M分频器输出END fwm;然后需要一个计数器LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY decoder ISPORT (clk:IN STD_LOGIC;--接收10HZ的时钟信号dis_out:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END decoder;ARCHITECTURE fwm OF decoder ISBEGINPROCESS(clk)VARIABLE count : INTEGER:=0;BEGINCASE count ISWHEN 0 =>dis_out<="0000";WHEN 1 =>dis_out<="0001";WHEN 2 =>dis_out<="0010";WHEN 3 =>dis_out<="0011";WHEN 4 =>dis_out<="0100";WHEN 5 =>dis_out<="0101";WHEN 6 =>dis_out<="0110";WHEN 7 =>dis_out<="0111";WHEN 8 =>dis_out<="1000";WHEN 9 =>dis_out<="1001";WHEN 10 =>dis_out<="1010";WHEN 11 =>dis_out<="1011";WHEN 12 =>dis_out<="1100";WHEN 13 =>dis_out<="1101";WHEN 14 =>dis_out<="1110";WHEN 15 =>dis_out<="1111";WHEN OTHERS=>count:=0;END CASE;IF clk'EVENT AND clk='1' THENcount:=count+1;END IF;END PROCESS;END fwm;最后使用七段译码器译码为七段码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sevendecoder ISPORT (data_in:IN STD_LOGIC_VECTOR(3 DOWNTO 0); dis_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END sevendecoder;ARCHITECTURE fwm OF sevendecoder ISBEGINPROCESS(data_in)BEGINCASE data_in ISWHEN"0000"=>dis_out<="1000000";--显示 0 WHEN"0001"=>dis_out<="1111001";--显示 1 WHEN"0010"=>dis_out<="0100100";--显示 2 WHEN"0011"=>dis_out<="0110000";--显示 3 WHEN"0100"=>dis_out<="0011001";--显示 4 WHEN"0101"=>dis_out<="0010010";--显示 5 WHEN"0110"=>dis_out<="0000010";--显示 6 WHEN"0111"=>dis_out<="1111000";--显示 7 WHEN"1000"=>dis_out<="0000000";--显示 8 WHEN"1001"=>dis_out<="0010000";--显示 9 WHEN"1010"=>dis_out<="0001000";--显示 A WHEN"1011"=>dis_out<="0000011";--显示 b WHEN"1100"=>dis_out<="1000110";--显示 C WHEN"1101"=>dis_out<="0100001";--显示 d WHEN"1110"=>dis_out<="0000110";--显示 E WHEN"1111"=>dis_out<="0001110";--显示 FWHEN OTHERS=> dis_out<="1111111";--灭灯,不显示END CASE;END PROCESS;END fwm;五.实验结果实验1:VHDL源代码输入波形仿真配置针脚在计算机上完成模拟实验之后,重新进行编译,然后将程序下载到DE0开发板上并对异或门逻辑电路进行验证。