位数值比较器设计
数值比较器
实验五
一:实验目的:1. 掌握常见数值比较器及编码器芯片的逻辑功能及测试方法。
2. 掌握与数值比较器芯片及编码器相关组合逻辑电路设计方法。
二:实验原理:数值比较器功能:完成两个数值大小的比较。
数值比较器分类:
1.1位数值比较器
2.多位数值比较器
编码器功能:将输入的每一个高低电平编成
对应的二进制代码。
常见芯片;
:
实验内容:
1. 病房呼叫系统
医院有一二三四号病房,每个病房设置有呼叫器,护士值班室设有数码管显
示单元。
设计要求:
1.当病房呼叫按钮按下时,值班室数码管显示对应的病房号。
2.病房呼叫优先级为一-二-三-四,从高到低。
电路图如图
结果如下
2.三个四位二进制数排列
利用两个74LS85完成三个四位二进制数的排列,利用数码管显示最大值。
分析;先将四位二进制数A与B进行比较,得出较大得数,再将它与C比较得出较大的数也就是ABC三个四位二进制数中最大的数。
电路图如图所示:
结果如下
:。
详细设计方案_74hc85
四位数值比较器详细设计方案1.四位比较器简介:四位数值比较器是一个有多个输入和多个输出组合逻辑电路,在数字系统中有着广泛的应用。
它通过比较两个四位二进制数的值,以产生不同的输出结果。
本设计兼容数字电路中常用的74HC85数值比较器。
74HC85是4位数值比较器,其功能如表1所示,输入端包括A3~A0与B3~B0,输出端为FA>B、FA<B、FA=B,以及扩展输入端为IA>B、IA <B和IA=B。
扩展输入端与其他数值比较器的输出连接,以便组成位数更多的数值比较器。
2.四位比较器方案规格:四位数进行比较,输出比较结果。
3.四位比较器方案目的:1、使学生了解四位比较器。
2、使学生掌握四位比较器设计方法。
4.使用方法:KEY2 KEY1按键作为B1 B0输入,KEY4 KEY3按键作为A1 A0输入,3个LED(LED3 LED2 LED1)Fa>b、Fa<b、Fa=b指示,下载到FP GA上面,可以看到默认时候LED1发光,代表Fa=b,当KEY2或者K EY1按下时候LED3亮,代表A<B,当KEY4或者KEY3按下时候LED 2亮,代表A>B。
5.四位比较器硬件方案:6.四位比较器实现原理74HC85是4位数值比较器,其功能如表1所示,输入端包括A3~A0与B3~B0,输出端为FA>B、FA<B、FA=B,以及扩展输入端为IA>B、IA <B和IA=B。
扩展输入端与其他数值比较器的输出连接,以便组成位数更多的数值比较器。
其逻辑符号如图1所示。
7.Verilog HDL源代码Verilog HDL代码为:module compare4(input [3:0] a_in, // 第一个4位比较值input [3:0] b_in, // 第二个4位比较值input [2:0] i_in, // 扩展输入端output reg [2:0] f_out // 比较结果输出端);//******************************************************************** **********// 模块名称:4位比较器模块// 功能描述:完成4位比较器的功能//******************************************************************** **********always@( a_in or b_in or i_in ) beginif ( a_in > b_in )f_out = 3'b100; // 输出a大于belse if( a_in < b_in )f_out = 3'b010; // 输出a小于belse begincase( i_in )3'b000:f_out = 3'b110;3'b010:f_out = 3'b010; // 输出a小于b3'b100:f_out = 3'b100; // 输出a大于b3'b110:f_out = 3'b000;default:f_out = 3'b001; // 输出a等于bendcaseendendendmodule8.日积月累思考其他控制发光二极管放光的办法。
8位数值比较器4585电路的设计
08级学生数字电路课程设计数字电路课程设计报告书课题名称8位数值比较器的设计姓名张龙学号0812501*04院、系、部物理与电信工程系专业电子科学与技术指导教师张学军2010年06月23日一、设计任务及要求:设计任务:设计一个8位数值比较电路要求:接通电源后,高电平(5V)为对应逻辑输入1;低电平(接地,0V)对应逻辑输入0。
由单刀双掷开关实现逻辑0和1之间的状态转换。
要求能够比较输入的任意一组8位二进制数。
指导教师签名:2010年06月23日二、指导教师评语:指导教师签名:2010年06月日三、成绩验收盖章:2010年06月日8位数值比较器4585电路的设计1 设计目的(1)学习数值比较器在组合电路中的应用及数值比较器功能的拓展; (2)熟悉数值比较电路的工作原理; (3)熟悉数码管的使用;(4)了解简单数字系统实验、调试以及故障排除方法。
2 设计思路(1)设计一个8位二进制数值的输入电路;(2)设计一个反馈电路显示输入的8位二进制数值的大小; (3)通过比较器对所输入的数值进行比较。
3 设计过程3.1方案论证→→→→注意:因比较器比较得来的结果可从数码管处验证;故设计可行。
3.2电路设计8位数值输入部分在接通电源后,高电平(5V )为对应逻辑输入1;低电平(接地,0V )对应逻辑输入0。
由单刀双掷开关实现逻辑0和1之间输入的状态转换。
3.3电路的基本组成与工作原理电路的基本组成原理总图所下图1示。
主要由两片4位4585数值比较器、四个数码管、三个显示灯、16个单刀双掷开关及一个5V 电源组成。
8位数值输入电路 利用数码管客观显示所输入数值通过比较器比较两值的大小其中,三个数码管为X1、X2和X3;X1、X2和X3分别对应于红灯、绿灯和蓝灯(图2)打开电源开关,用A、B、C、D、E、F、G、H输入第一个数a,并用红色数码管显示其数值;用I、J、K、L、M、N、O、P输入第二个数b,并用蓝色数码管显示其数值;当a>b时,红灯亮;当a=b时,绿灯亮;当a<b时,蓝灯亮。
4位数值比较器设计[整理]
4位数值比较器设计[整理]四位数值比较器是一种常见的数字电子电路,广泛应用于计算机、通信、控制等领域。
其主要功能是将两个四位数字进行比较,输出比较结果。
下面将介绍如何设计一款四位数值比较器。
一、功能描述四位数值比较器输入两个四位数字A、B,比较它们的大小关系,输出比较结果。
如果A>B,输出1;如果A<B,输出-1;如果A=B,输出0。
二、设计步骤1.分析功能需求根据功能描述,我们需要完成以下任务:(1)输入两个四位数字A、B。
(2)将两个数字进行比较。
(3)输出比较结果。
2.确定输入输出端口和数据宽度根据上述功能需求,我们可以确定输入为两个4位数字A、B,输出为1位数字(1、0或-1)。
因此,输入端口需要8个引脚(4位输入A、4位输入B),输出端口需要1个引脚。
3.分析比较规则比较规则可以分为以下几个步骤:(1)判断A和B的位数是否相等,如果不相等,则将位数不足的数字前面补0。
(2)从高位开始比较A和B的每一位数字,如果相同,则继续比较下一位,如果不同,则输出A和B相应位数之差的符号。
(3)全部比较完成后,如果A=B,则输出0。
4.设计电路原理图基于上述分析,我们可以得到四位数值比较器的电路原理图,如下所示:(A>B)——Y=15.实现电路功能实现上述电路原理图的功能,需要对每个子模块进行详细设计和调试。
具体实现过程如下:(1)比较器比较器的功能是比较两个数的大小关系。
本电路中采用了四位全加器(4-bit full adder)实现比较器的功能。
根据比较规则,当A和B的相应位数相同时,将A和B相应位数之差的符号作为比较结果进行输出。
具体电路原理如下图所示:(2)选择器选择器的功能是根据比较结果输出相应的数值。
由于比较结果输出的是1、0或-1,因此我们需设置三个选择器,用于分别输出明确的比较结果。
具体电路原理如下图所示:多路选择器的作用是判断A和B的数字位数是否相同,并在数字位数不同时将位数不足的数字前面补0。
4位数值比较器
X X大学课程设计题目4位数值比较器学院X学院专业XXX班级XXX学生XXX学号*********指导教师XXX二〇一〇年十二月二十七日摘要随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器。
例如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。
我们可以根据不同的需要来制造出不同类型的比较器。
我们可以利用一些我们所学的知识,利用一些简单的二极管、三极管、MOS管的开关特性来组成各种门电路的基本开关元件。
我们再利用这些元件组成比较器的组合逻辑电路。
根据不同的需要,来用不同的方法来连接元件实现不同的功能。
我们可以实现1位数比较器,2位数比较器,4位数比较器,8位数比较器等多种比较器。
关键词门电路;开关元件;比较器;逻辑电路;目录摘要 (1)1前言.................................................................. ................ .. (3)1.1C M O S组成的门 (3)1.1.1C M O S组成的非门 (4)1.1.2C M O S组成的与非门 (4)1.2位数比较器 (5)2 4位数比较器 (7)2.1 原理框图 (7)2.2逻辑电路图 (8)2.2.14位数逻辑电路图 (8)2.2.24位数比较器原理 (9)2.2.3 电路板示意图 (10)结论 (11)心得体会 (12)致谢 (14)参考文献 (14)1前言本课程设计主要是根据我们学习的余孟尝主编的《数字电子技术简明教程(第三版)》的后续课程。
这个课程设计在保证基础,精选内容,加强感念理解,联系实际,利于我们学习这门课程,加深对这门课程的理解 。
它还可以增加我们的实际思维能力和动手能力。
1.1 CMOS 组成的门本次课程设计需要一些简单的电路,我们开始先介绍简单的电路。
什么是数字比较器如何设计一个数字比较器电路
什么是数字比较器如何设计一个数字比较器电路数字比较器是一种电子器件,用于比较两个输入数字的大小,并产生相应的输出信号。
在数字电路中,数字比较器是一种基本的逻辑电路,常用于数字系统的控制和运算。
数字比较器通常由比较器和输出逻辑电路两部分组成。
比较器的作用是接收两个输入数字,并将比较结果输出给输出逻辑电路。
输出逻辑电路根据比较结果产生相应的输出信号。
设计一个数字比较器电路的步骤如下:1. 确定比较器的位数:根据需要比较的数字的位数确定比较器的位数。
比如,如果要比较8位二进制数字,那么需要设计一个8位的数字比较器。
2. 确定输入和输出的电平:根据系统的工作电平确定输入和输出的电平。
通常,数字比较器的输入电平为逻辑高电平和逻辑低电平,输出电平为0和1。
3. 设计比较器的逻辑电路:根据比较器的位数,使用逻辑门和触发器等基本逻辑电路元件设计比较器的逻辑电路。
比如,一个4位的数字比较器可以使用4个比较器和4个与门组成。
每个比较器接收两个输入位,并将比较结果输出。
4. 设计输出逻辑电路:根据比较结果设计输出逻辑电路。
比如,如果比较器的输出结果为"大于",则输出逻辑电路产生逻辑高电平作为输出信号;如果比较结果为"等于",则输出逻辑电路产生逻辑高电平作为输出信号;如果比较结果为"小于",则输出逻辑电路产生逻辑低电平作为输出信号。
5. 连接电源和输入信号:将设计好的数字比较器电路连接到电源和输入信号源。
确保电路正常工作,并能正确比较输入数字的大小。
6. 测试和调整:使用测试信号对数字比较器进行测试,并根据测试结果对电路进行调整,确保比较器的准确性和稳定性。
设计一个数字比较器电路需要一定的电子电路基础知识和能力。
使用数字电路设计软件,如Verilog或VHDL等,可以更方便地设计和模拟数字比较器电路。
组合逻辑电路3之数值比较器
逻 辑 表 达 式
L1 = AB L2 = A B L3 = A B + AB = A B + AB
逻 辑 图
A
1
& ≥1
L1 (A>B) L3 (A=B) L2 (A<B)
≥1 & 1 B1 & 1 A0
≥1 & 1 B0 A'>B' A'<B' A'=B'
本节小结
在各种数字系统尤其是在计算机中, 经 在各种数字系统尤其是在计算机中 , 常需要对两个二进制数进行大小判别, 常需要对两个二进制数进行大小判别 , 然 后根据判别结果转向执行某种操作。 后根据判别结果转向执行某种操作 。 用来 完成两个二进制数的大小比较的逻辑电路 称为数值比较器, 简称比较器。 称为数值比较器 , 简称比较器 。 在数字电 路中, 数值比较器的输入是要进行比ቤተ መጻሕፍቲ ባይዱ的 路中 , 两个二进制数,输出是比较的结果。 两个二进制数,输出是比较的结果。
数值比较器一1位数值比较器逻辑图本节小结在各种数字系统尤其是在计算机中经常需要对两个二进制数进行大小判别然后根据判别结果转向执行某种操作
数值比较器
用来完成两个二进制数的大小比较的逻辑电路称 为数值比较器,简称比较器。 一、1位数值比较器 位数值比较器 设A>B时L1=1;A<B时L2=1;A=B时L3=1。 得1位数值比较器的真值表。
B
1
&
二、四位数值比较器
比 较 输 入 A3 B3 A3 >B3 A3 <B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A3 =B3 A2 B2 × × A2 >B2 A2 <B2 A2 =B2 A2 =B2 A2 =B2 A2 =B2 A2 =B2 A2 =B2 A2 =B2 A1 B1 × × × × A1 >B1 A1 <B1 A1 =B1 A1 =B1 A1 =B1 A1 =B1 A1 =B1 A0 B0 × × × × × × A0 >B0 A0 <B0 A0 =B0 A0 =B0 A0 =B0 级 联 输 入 A'>B' A'<B' A'=B' × × × × × × × × × × × × × × × × × × × × × × × × 1 0 0 0 1 0 0 0 1 输 出
4位数值比较器设计
4位数值比较器设计要设计一个4位数值比较器,首先需要明确比较器的功能和要求。
一个4位数值比较器应该能够接受两个4位数作为输入,并确定它们之间的关系(大于、小于或等于)。
在设计中,要考虑以下几个方面:1.输入和输出:设计中需要确定输入和输出的形式。
考虑到输入是4位数,可以选择使用4个4位的二进制数来表示输入。
输出可以是一个3位的二进制数,用于表示比较结果。
2.状态转换:比较器需要进行状态转换,根据输入确定比较结果。
可以使用状态转换图来描述比较器的行为。
状态转换图是一个有向图,其中每个节点表示比较器的状态,每个边表示从一个状态到另一个状态的转换条件。
3.状态转换表:根据状态转换图,可以编写一个状态转换表。
状态转换表将输入和当前状态映射到下一个状态以及输出。
在我们的例子中,输入包括两个4位数和一个控制信号,这个信号用于控制比较器的行为。
4.组合逻辑电路:根据状态转换表,可以设计比较器的组合逻辑电路。
组合逻辑电路将输入和当前状态映射到输出。
在比较器的情况下,组合逻辑电路将输入数进行比较,然后产生输出。
5.时序逻辑电路:在进行比较操作之前,需要确保所有的输入稳定,以防止不确定的结果。
为了满足这个要求,可以使用时钟信号和触发器来实现时序逻辑电路。
时序逻辑电路保证在时钟信号的控制下,根据输入和当前状态确定输出。
最后,根据上述的设计思路,可以使用门电路、触发器等数字电路元件进行比较器的设计和实现。
通过模拟和测试,可以验证设计的正确性,并对其进行修改和优化。
这只是一个大致的设计思路,具体的实现方法还需要根据实际需求进行调整和优化。
设计一个完整的4位数值比较器需要考虑的因素还有很多,比如输入的范围、输出的表示方式等。
在实际应用中还可能需要考虑更多的因素,比如速度、功耗等。
因此,对于一个具体的设计,需要根据具体的要求和条件来进行详细的设计和实现。
数字电路二位数值比较器
数字电子技术基础课程设计报告书题目:2位数值比较器姓名:班级:指导教师:设计时间:2011年3月— 7月民族大学数学与计算机学院一、背景和编写目的随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。
本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。
以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。
通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。
比较器有2位数比较器,4位数比较器,8位数比较器等多种。
本课程设计就是两位数比较器,可以实现2位二进制数值的比较。
二、EDA和VHDL的介绍EDA技术EDA技术的概念EDA是电子设计自动化(E1echonics Des5p AM•toM60n)的缩写。
由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。
从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。
EDA技术的特点采用可编程器件,通过设计芯片来实现系统功能。
采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。
由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。
原创:8位数值比较器的设计
数字电路课程设计报告书课题名称 8位数值比较器的设计姓 名 张 龙 学 号 0812501*04 院、系、部 物理与电信工程系 专 业 电子科学与技术指导教师张学军2010年 07月 07日※※※※※※※※※ ※※※※ ※※ ※※※※※※※※※2008级电子科学与技术专业数字电子技术课程设计一、设计任务及要求:设计任务:设计一个8位数值比较电路,并为该比较器设计一个稳压电源电路。
要求:①设计一个直流稳压电源电路,高电平对应输出为逻辑1,低电平对应输出为0;②通过单刀双掷开关实现高低电平的转换,对任意一组输入信号进行比较;③设计并画出工作总图,论述其工作原理;采用Multisim 10.0进行仿真实验并且完善电路设计。
指导教师签名:2010 年7月7日二、指导教师评语:指导教师签名:2010 年07月日三、成绩验收盖章2010 年07月日8位数值比较器4585电路的设计1 设计目的(1)学习数值比较器在组合电路中的应用及数值比较器功能的拓展; (2)熟悉数值比较电路的工作原理; (3)熟悉数码管的使用;(4)了解直流稳压电源的结构。
(5)了解简单数字系统实验、调试以及故障排除方法。
2 设计思路(1)设计一个8位二进制数值的输入电路;(2)设计一个反馈电路显示输入的8位二进制数值的大小; (3)通过比较器对所输入的数值进行比较。
3 设计过程3.1方案论证图1 8位数值比较器设计框图如图1所示,比较所得的结果可从数码管处验证,故设计可行。
3.2 芯片功能分析4585BD 是一个四位数值比较器。
信号输入稳压电源比较器LED 显示电路图2 4585BD引脚图表1 4585BD功能表输入输出A3 B3A2 B2A1 B1A0 B0AGTB AEQB ALTB OAGTB OAEQB OALTB A3 >B3×××L H L H L LA3 <B3×××L H L L L HA3 = B3A2 >B2××L H L H L LA3 =B3A2 <B2××L H L L L HA3 =B3A2 =B2A1 >B1×L H L H L LA3 =B3A2 =B2A1 <B1×L H L L L HA3 =B3A2 =B2A1 =B1A0 >B0L H L H L LA3 =B3A2 =B2A1 =B1A0 <B0L H L L L HA3 =B3A2 =B2A1 =B1A0 =B0L H L L H L××××H H H ×××××××H H L ×××××××L H H ×××××××L L L ×××××××H L L ×××××××L L H ×××××××H L H ×××(1)由4585BD功能表可知,当AGTB、AEQB、ALTB分别接低电平、高电平、低电平时,比较器正常工作。
数字比较器设计
数字比较器设计一、引言数字比较器是一种常见的电子电路,用于比较两个数字的大小关系。
比较器通常应用于逻辑电路、计算机芯片以及各种控制系统中。
本文将详细介绍数字比较器的设计原理和实现方法。
二、设计原理数字比较器的设计原理基于数字电子学中的逻辑门电路。
常用的数字比较器是基于逻辑门实现的,并且可以根据需要扩展为不同位数的比较器。
本文以4位比较器为例进行设计说明。
数字比较器的主要特征是根据输入信号的大小关系输出不同的逻辑电平。
通常使用两个n位数字输入A和B进行比较,输出结果有三种情况:A>B、A<B和A=B。
比较器可以通过逻辑门电路的组合来实现。
三、设计步骤1. 确定比较器位数:根据需求确定比较器的位数,本文以4位比较器为例。
2. 确定输入信号:确定输入信号的位数和范围。
3. 设计比较逻辑:根据比较器的位数,设计比较逻辑电路。
比较逻辑电路应该能够比较两个输入信号的大小关系,并输出相应的逻辑电平。
4. 确定输出逻辑:确定输出逻辑电平的表示方式,可以使用电平高低、数字码等形式。
5. 绘制电路图:根据设计的比较逻辑和输出逻辑,绘制数字比较器的电路图。
6. 搭建实验平台:根据电路图搭建实际的数字比较器实验平台。
7. 进行测试和验证:对实验平台进行测试和验证,确保数字比较器的功能和性能符合设计要求。
四、实现方法数字比较器的实现方法可以有多种选择,常见的有基于逻辑门电路和基于可编程逻辑器件(如FPGA)的实现方法。
1. 基于逻辑门电路的实现方法:- 使用逻辑门电路(如与门、或门、非门)进行组合,设计比较逻辑电路,实现数字比较器的功能。
- 使用电平高低或数字码表示输出逻辑电平。
- 优点:电路简单、成本低廉。
- 缺点:只能实现固定位数的比较器,不易扩展。
2. 基于可编程逻辑器件的实现方法:- 使用可编程逻辑器件(如FPGA)进行逻辑门的编程配置,实现数字比较器的功能。
- 可根据需要灵活配置输入信号的位数和比较逻辑。
13数值比较器,算术运算电路
A1 B1
A 2 B2 C1
A 3 B3
A 0 B0 IA> B IA< B
A 1 B1
A2 B2 C2
A3 B3
A 0 B0 IA> B IA< B
A1 B1
A2 B2
3
A3 B 3
低位片
F A< B F A >B
C 高位片 F A< B FA> B
I A=B F A=B
I A=B F A=B
FA <B
(2)集成数值比较器的位数扩展 (2)集成数值比较器的位数扩展
用两片 组成8位数值比较器 扩展方式)。 用两片74LS85组成 位数值比较器(串联扩展方式)。 组成 位数值比较器(串联扩展方式 输入: A=A7 A6A5A4A3 A2A1A0 输入 输出: 输出 F A> B F A< B F A= B < = B3A3~B0A0
F A=B F A< B F A> B
F A< B 7 GND 8
B0
74LS85的逻辑符号 的逻辑符号
74LS85的引脚图 的引脚图
的功能表 4位数值比较器74LS85的功能表 位数值比较器 的功能
输 A3 B3 A3 > B3 A3 < B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A3 = B3 A2 B2 × × A2 > B2 A2 < B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A2 = B2 A1 B1 × × × × A1 > B1 A1 < B1 A1 = B1 A1 = B1 A1 = B1 A1 = B1 A1 = B1 A1 = B1 A1 = B1 入 A0 B0 × × × × × × A0 > B0 A0 < B0 A0 = B0 A0 = B0 A0 = B0 A0 = B0 A0 = B0 IA>B IA<B IA=B × × × × × × × × H L × H L × × × × × × × × L H × H L × × × × × × × × L L H L L 输 出 FA>B FA<B FA=B H L H L H L H L H L L L H L H L H L H L H L H L L H L L L L L L L L L L H L L
2位数值比较器设计方法探讨
2位数值比较器设计方法探讨作者:易映萍侯文蒋玲李玉凤来源:《教育教学论坛》2016年第37期摘要:卡诺图是逻辑函数的一种图形表示,在数字电路中可以用卡诺图来表示逻辑函数,用卡诺图来化简逻辑函数,用卡诺图来设计组合逻辑电路和时序逻辑电路。
本文主要介绍了用1位数值比较器来设计2位数值比较器的三种方法并做了详细的分析比较,重点介绍了如何使用卡诺图来设计2位数值比较器,设计比用真值表的方法简单,大大减少了设计的工作量。
关键词:卡诺图;数值比较器;设计中图分类号:G642.4 文献标志码:A 文章编号:1674-9324(2016)37-0185-02已知两个1位数值比较器的逻辑框图及逻辑函数表达式,如图1所示,要求用这两个1位数值比较器来设计一个2位数值比较器。
方法1:列出2位数值比较器简化的真值表缺点:简化的真值表学生不太好理解。
方法2:列出2位数值比较器完整的真值表以F 为例,由真值表写出F 的最小项表达式:F = A +A +A B +A A B +A B A 缺点:(1)完整的4输入3输出的真值表比方法1中简化的真值表难列。
(2)由于必须使用1位数值比较器的输出逻辑函数表达式,不能写成最小项表达式,也不能化成最简的与或式,不容易写出满足要求的表达式。
方法3:用卡诺图来表示2位数值比较器F ,F ,F 的逻辑功能,通过观察1位数值比较器的输出逻辑函数表达式来画相应的包围圈。
F 的卡诺图如图2所示。
通过上面的分析,用1位数值比较器来设计2位数值比较器的电路图如图5所示。
从上面分析可知用卡诺图来实现比用真值表的方法更简单易懂。
参考文献:[1]康华光.电子技术基础数字部分(第五版)[M].北京:高等教育出版社,2012.[2]童诗白.数字电子技术基础(第五版)[M].北京:高等教育出版社,2011.[3]忻尚芝.电工与电子技术教程[M].上海:上海科学技术出版社,2012.[4]秦曾煌.电工学(第六版)电子技术[M].北京:高等教育出版社,2004.[5]华成英.数字电子技术基础(第四版)习题解答[M].北京:高等教育出版社,2007.。
数值比较器的实验报告
计算机组成原理实验报告实验题目班级姓名学号指导教师4位数值比较器一、实验目的与要求:掌握常见组合逻辑电路的分析和设计二、实验原理1、实验内容及说明要比较的是两个4位二进制数A=A3A2A1A0、B=B3B2B1B0,比较结果用L、G、M表示,且A>B时,L=1;A=B时,G=1;A<B时,M=1.1.比较方法,输出输入之间因果关系分析从最高位开始比较,依次逐位进行,直到比较出结果为止。
①若A3>B3,则A>B,L=1、G=M=0.②当A3=B3,即G3=1时,若A2>B2,则A>B,L=1、G=M=0.③当A3=B3、A2=B2即G3=G2=1时,若A1>B1,则A>B,L=1、G=M=0.④当A3=B3、A2=B2、A1=B1即G3=G2= G2=1时,若A0>B0,则A>B,L=1、G=M=0对A>B即L=1,上述四种情况是或的逻辑关系。
⑤只有当当A3=B3、A2=B2、A1=B1、A0=B0即G3=G2= G1= G0=1时,才会有A=B,即G =1。
显然,对于A=B即G =1,G3、G2、G1、G0是与的逻辑关系⑥如果A不大于B也不等于B,即L=G=0时,则A必然小于B,即M=1.2、4位数值比较器真值表3、逻辑表达式L=L3+G3L2+G3G2L1+G3G2G1L0 G=G3G2G1G0M=4、原理框图5、实验原理图A010A112A213A315A<B2A=B3A>B4B09B111B214B317657485COMP10P12131532<3=4>9Q1114137485A<BA=BA>B三、实验内容1、实验步骤(1)原理图输入:实验原理图,采用图形输入法在计算机上完成实验电路的原理图输入。
(2)管脚定义:其中A3A2A1A0定义在k3-k0(56-53),B3B2B1B0定义在k11-k8(29-26),G,M,L,定义在LED3-LED0(79-76)。
Candence课程设计——2位数值比较器解析
集成电路设计论文论文题目:2位数值比较器姓名:陈英文学号:1020630126学院:机械与电子工程学院专业:电子科学与技术班级:10206301指导教师:蔡老师一、课程实验设计目的本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。
在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。
用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。
比较结果有A>B、A<B以及A=B三种情况。
二、实验原理1.数值比较器分类1位数值比较器:比较输入的两个1位二进制数A、B的大小多为数值比较器:比较输入的两个多位二进制数A、B 的大小,比较时需从高位到低位逐位进行比较。
2. 1位数值比较器设输入的两个二进制数位A、B,输出比较的结果为Y(A>B)、Y(A<B)、Y(A=B)三种情况。
输出时为1,否则为0。
逻辑符号示意框图:Y(A>B)Y(A=B)Y(A<B)2.1 真值表如下:输入输出A B Y(A>B)Y(A=B)Y(A<B)0 0 0 1 00 1 0 0 11 0 1 0 01 1 0 1 02.2根据真值表可写出逻辑函数表达式为2.3 逻辑图如下所示:3. 2位数值比较器3.1 定义:比较两个2 位二进制数的大小的电路3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。
3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B03.4 原理:当高位(A1、B1)不相等时,无需比较低位(A0、B0),高位比较的结果就是两个数的比较结果。
当高位相等时,两数的比较结果由低位比较的结果决定。
3.5 真值表3.6 根据真值表可写出逻辑函数表达式为FA>B = (A1>B1) + ( A1=B1)(A0>B0)FA<B = (A1<B1) + ( A1=B1)(A0<B0)0 0 10 1 01 0 0A0 > B0 A0 < B0 A0 = B0A1 = B1 A1 = B1 A1 = B1 0 1 0 × A1 < B1 01×A1 > B1 FA=B FA<B FA>B A0 B0 A1 B1 输 出输 入FA=B=(A1=B1)(A0=B0)3.7两位数值比较器逻辑图3.7 两位数值比较器逻辑图A 1B 1A 0B 01位数值比较器1位数值比较器A 1>B 1A 1=B 1 A 1<B 1 A 0>B 0A 0=B 0 A 0<B 0G 1 G 2 &&G 3 & ≥1≥1F A >BF A=BF A <B三、实验步骤1. 画原理图及创建符号1.1 调用cadence软件进入UNIX系统后,输入icfb 命令调用cadence软件。
原创:8位数值比较器的设计
数字电路课程设计报告书课题名称 8位数值比较器的设计姓 名 张 龙 学 号 0812501*04 院、系、部 物理与电信工程系 专 业 电子科学与技术指导教师张学军2010年 07月 07日※※※※※※※※※ ※※※※ ※※ ※※※※※※※※※2008级电子科学与技术专业数字电子技术课程设计一、设计任务及要求:设计任务:设计一个8位数值比较电路,并为该比较器设计一个稳压电源电路。
要求:①设计一个直流稳压电源电路,高电平对应输出为逻辑1,低电平对应输出为0;②通过单刀双掷开关实现高低电平的转换,对任意一组输入信号进行比较;③设计并画出工作总图,论述其工作原理;采用Multisim 10.0进行仿真实验并且完善电路设计。
指导教师签名:2010 年7月7日二、指导教师评语:指导教师签名:2010 年07月日三、成绩验收盖章2010 年07月日8位数值比较器4585电路的设计1 设计目的(1)学习数值比较器在组合电路中的应用及数值比较器功能的拓展; (2)熟悉数值比较电路的工作原理; (3)熟悉数码管的使用;(4)了解直流稳压电源的结构。
(5)了解简单数字系统实验、调试以及故障排除方法。
2 设计思路(1)设计一个8位二进制数值的输入电路;(2)设计一个反馈电路显示输入的8位二进制数值的大小; (3)通过比较器对所输入的数值进行比较。
3 设计过程3.1方案论证图1 8位数值比较器设计框图如图1所示,比较所得的结果可从数码管处验证,故设计可行。
3.2 芯片功能分析4585BD 是一个四位数值比较器。
信号输入稳压电源比较器LED 显示电路图2 4585BD引脚图表1 4585BD功能表输入输出A3 B3A2 B2A1 B1A0 B0AGTB AEQB ALTB OAGTB OAEQB OALTB A3 >B3×××L H L H L LA3 <B3×××L H L L L HA3 = B3A2 >B2××L H L H L LA3 =B3A2 <B2××L H L L L HA3 =B3A2 =B2A1 >B1×L H L H L LA3 =B3A2 =B2A1 <B1×L H L L L HA3 =B3A2 =B2A1 =B1A0 >B0L H L H L LA3 =B3A2 =B2A1 =B1A0 <B0L H L L L HA3 =B3A2 =B2A1 =B1A0 =B0L H L L H L××××H H H ×××××××H H L ×××××××L H H ×××××××L L L ×××××××H L L ×××××××L L H ×××××××H L H ×××(1)由4585BD功能表可知,当AGTB、AEQB、ALTB分别接低电平、高电平、低电平时,比较器正常工作。
四位数值比较器
EDA技术课程大作业设计题目:四位数值比较器院系:电子信息与电气工程系学生姓学号:0035专业班级:09电子信息工程(升)2010年12月9日四位数值比较器1. 设计背景和设计方案设计背景在数字电路中,比较器的逻辑功能是用来对两输入端口送来的数据进行比较操作,然后将比较的结果送到输出端口上。
通常,比较器对两个输入数据进行比较可以得到三种基本的比较结果:大于、小于和等于。
当然,比较器也可以得到不大于、不小于和不等于等结果,而这几种结果可以由三种基本的比较结果进行取反运算即可。
设计方案四位数值比较器是数字电路中应用得最广泛的一种比较器。
一般来说,四位数值比较器的实体模块如图1-2-1所示,与其对应的真值表如表1-2-1所示。
比较器含有两个4位位矢量输入端口A和B,3个比较结果输出端口GT、EQ、LT,以及3个级联输入端口I1、I2、I3。
其中级联输入端口的作用是用来进行芯片的级联操作。
通过这些端口可以对比较器的功能进行扩展。
图1-2-1 四位比较器实体模块表1-2-1 四位比较器的真值表2. 方案实施四位数值比较器的设计1、设计思路文字描述根据实体模块和真值表可知,设计两组四位数据输入端口,三个级联输入端口,三个数据比较结果输出端口。
2、程序library ieee;use comparator isport(A0,A1,A2,A3: in std_logic;B0,B1,B2,B3: in std_logic;I1,I2,I3: in std_logic;GT,EQ,LT: out std_logic);end comparator;architecture one of comparator issignal A_tmp,B_tmp:std_logic_vector(3 downto 0);beginA_tmp <= A3&A2&A1&A0;B_tmp <= B3&B2&B1&B0;process(A_tmp,B_tmp,I1,I2,I3)beginif(A_tmp > B_tmp) thenGT <='1'; EQ <='0'; LT <='0';elsif(A_tmp < B_tmp) thenGT <='0'; EQ <='0'; LT <='1';elsif(A_tmp = B_tmp) thenif(I2='1') thenGT <='0'; EQ <='1'; LT <='0';elsif(I1='1') thenGT <='1'; EQ <='0'; LT <='0';elsif(I3='1') thenGT <='0'; EQ <='0'; LT <='1';end if;end if;end process;end one;3、运行结果文字描述运行程序全部成功通过,有十六个警告。
位数值比较器设计
令狐采学创作电子技术课程设计报告令狐采学题目:4位数值比较器设计学生姓名:学生学号:年级:专业:班级:指导教师:机械与电气工程学院制2016年11月4位数值比较器设计机械与电气工程学院:自动化专业1 .课程设计的任务与要求1.1课程设计的任务采用Multisim 12.0软件实现4位数值比较器的设计与仿真。
1.2课程设计的要求(1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。
(2)采用74Ls85集成数值比较器。
(3)要有仿真效果及现象或数据分析。
2.四位数值比较器设计方案制定2.1四位数值比较器工作的原理对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。
从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。
若最高位A3=B3,则再比较次高位A2=B2,余此类推。
如果两数相等,那么,必须将进行到最低位才能得到结果。
可以知道:FA>B 二FA3>B3+FA3 二B3FA2>B2+FA3 二B3FA2 二B2FA1>B1+FA3 二B3FA2 二B2FA1 二B2FA()>B()+FA3 二B3FA2 二B2FA1 =B1FAO 二B()IA>B (2-1)FA<B 二FA3VB3+FA3 二B3FA2VB2+FA3 二B3FA2 二B2FA1<B1 +FA3 二B3FA2 二B2FA1 二B2FA()<B()+FA3 二B3FA2 二B2FA1 =B1 FAO 二BOIAvB (2-2)FA=B=FA3=B3FA2=B2FA1=B1FAO=BOIA=B(2-3)IA>B、IA<B和IA=B称为扩展输入端,是来自地位的比较结果。
扩展输入端与其他数值比较器的输出连接,以便组成位数更多的书值比较器。
若仅对4位数进行比较时,IA>B、IA<B、IA二B 进行适当处理,IA>B=IA<B=0,IA=B=l o2.2四位数值比较器设计方案四位数值比较器主要由一个74LS85和三个信号灯组成。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
令狐采学创作
电子技术课程设计报告
令狐采学
题目:4位数值比较器设计
学生姓名:
学生学号:
年级:
专业:
班级:
指导教师:
机械与电气工程学院制
2016年11月
4位数值比较器设计
机械与电气工程学院:自动化专业
1.课程设计的任务与要求
1.1 课程设计的任务
采用Multisim 12.0软件实现4位数值比较器的设计与仿真。
1.2 课程设计的要求
(1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。
(2)采用74Ls85集成数值比较器。
(3)要有仿真效果及现象或数据分析。
2.四位数值比较器设计方案制定
2.1 四位数值比较器工作的原理
对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。
从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。
若最高位A3=B3,则再比较次高位A2=B2,余此类推。
如果两数相等,那么,必须将进行到最低位才能得到结果。
可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1)
FA<B=FA3<B3+FA3=B3FA2<B2+FA3=B3FA2=B2FA1<B1 +FA3=B3FA2=B2FA1=B2FA0<B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA<B (2-2)
FA=B=FA3=B3FA2=B2FA1=B1FA0=B0IA=B (2-3)
IA>B、IA<B和IA=B称为扩展输入端,是来自地位的比较结果。
扩展输入端与其他数值比较器的输出连接,以便组成位数更多的书值比较器。
若仅对4位数进行比较时,IA>B、IA<B、IA=B进行适当处理,IA>B=IA<B=0,IA=B=1。
2.2 四位数值比较器设计方案
四位数值比较器主要由一个74LS85和三个信号灯组成。
通过四个开关的开通和闭合接收用户输入。
通过信号灯的亮与不亮
来判断数值得大小。
黄灯亮表示A>B ;红灯亮表示A<B ;绿灯亮表示A=B 。
其流程图如下图1所示。
图1四位数值比较器电路组成框图 3.四位数值比较器设计的技术方案
3.1四位数值比较器单元模块功能及电路设计
3.1.1输入电路设计
输入电路设计采用单刀双掷开关。
单刀双掷开关的由动端和不动端组成,动端就是所谓的“刀”,它应该连接电源的进线,也就是来电的一端,一般也是与开关的手柄相连的一端;另外的两端就是电源输出的两端,也就是所谓的不动端,它们是与用电设备相连的。
它的作用,一是可以控制电源向两个不同的方向输出,也就是说可以用来控制两台设备,或者也可以控制同一台设备作转换运转方向使用。
在这个电路中单刀双掷开关控制高低电平,从而控制输入端,使得A 、B 电平变化,实现四位数值比较。
图2输入电路设计 3.1.2 信号输出电路设计
信号灯里面装有一个传感器,当信号灯发出去的光被镜面反射回来时,传感器接收到信号,你能保证信号灯亮的,只要有一点光接受就可以,所以只要不完全挡住灯都会亮。
图3 输出电路设计
3.2主要芯片介绍
3.2.1 74L85D芯片
74LS85芯片是四位数值比较器,共有54/7485、54/74S85、54/74LS85三种线路结构型式。
74LS85D可进行二进制码和BCD码的对比,对两个4位字的比较结果有三个输出端(A>B,A=B,A<B)。
两个4位数的比较是从A的最高位A3和B的最高位B3进行比较,如果它们不相等,则该位的比较结果可以作为两数的比较结果。
若最高位A3=B3,则再比较次高位A2和B2,余类推。
显然,如果两数相等,那么,比较步骤必须进行到最低位才能得到结果。
其功能结构电路如下图5。
四位数值比较器真值表如下表1所示。
图4 74LS85D芯片
图5 75Ls85D功能结构电路设计图
表1 四位数值比较器真值表
3.3、四位数值比较器整体电路原理图
图6 四位数值比较器整体电路原理图
3.4元器件清单
表3 元器件清单
4 四位数值比较器设计的仿真实现
4.1仿真软件的介绍
本次课程设计采用Multisim 12.0来实现数字电子钟的仿真,它是电子线路分析与设计的优秀仿真软件,其界面直观、操作方便,创建电路需要的元件和电路仿真需要的测量仪器都可以以直接从屏幕抓取,且元件和仪器的图形与实物外形接近。
Multisim 12.0已经成为电子技术领域进行教学、学习和实验的必不可少的辅助软件,是每一个电子技术爱好者、学习者和工程技术人员必须掌握的工具软件之一,Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
4.2 四位数值比较器设计仿真实现
四位数值比较器未仿真实现,在仿真软件中的状态,图7所示。
图7四位数值比较器未开始
开关S1接通时,A3为高电势端,B3为低电势端,即A>B。
因此黄灯亮,如图8所示。
图8 A>B的情况
开关Key、Key2接通,开关S3、S4接通时,A3、B3为低电势端;A2、B2为低电势端;A1、B1为高电势端,即A=B。
因此红灯亮,如图9所示。
图9 A=B的情况
开关Key1、Key2、Key3、Key4接通时,A3、B3为低电势端,A2、B2为低电势端,A1为低电势端,B1为高电势端,即
A<B。
因此绿灯亮,如图10所示。
图10 A<B的情况
5 总结及心得体会
本次数电的课程设计加深了我对Multisim软件的进一步深入理解。
熟悉了该软件的元器件的查找,绘图及仿真,为我以后更深层次的学习奠定了良好的基础。
通过这次课程设计,使我受益颇多。
了解到课程实习设计是开端,连接是关键,测试是必须。
既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。
在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,解决问题,终于完成了四位数值比较器的仿真。
对于数字电路设计,尤其在使用Multism进行逻辑电路的连接与分析时,这种分析解决问题的能力就更为重要。
要在复杂的电子器件和密密麻麻的连线中找出头绪来,有时候并不是一件容易的事情。
就是在这种不断发现问题、分析问题、解决问题的过程中,我提高了自己分析解决问题的能力,因此,我把这次课程设计看成一次综合学习的机会。
在设计过程中,出现了各种各样的问题,有些是单一原因引起的,有的是综合原因引起的,这些都很考验我的毅力与坚持。
但是我掌握了研究这类问题的方法,即问题解决的过程就是要从问题所表现出来的情况出发,通过反复推敲,作出相应判断,逐步找出问题的症结所在,从而一举击破。
本次课程设计仍然存在一些不够完美的地方,例如在Multism环境下设计逻辑电路时,怎样更好地布局以及连线,使整个电路图更加清晰明了等等问题,虽然是细节问题,但往往可以决定成败,这些问题都应该引以为戒并作为以后设计相关电路时的参考。
在
本次课程设计中,我反复的练习各项操作,在练习的同时,我不断地巩固,熟能生巧,我最后将此次课程设计完成的非常好。
这对我以后学习相关的课程以及进行更高层次的数字电路设计都奠定了不错的基础,我相信无论做什么事情都要坚持、细心,不放弃,遇到困难要去解决,一个人解决不了,要敢于不耻下问,需求他人的帮助,这样我们可以在一次次困难中成长,这样就一定会实现自己的目标。
6 参考文献
[1] 韩广兴等电子元器件与实用电路基础[M]北京电子工业出版社
2004年1月
[2] 蓝和慧宁武等全国大学生电子设计竞赛单片机应用技能精解 [M]
北京电子工业出版社 2009年4月
[3]康光华.电子子技术基础数字部分第五版北京[M]高等教育
出版社.2004 .
[4]康光华.电子技术基础模拟部分[M]北京高等教育出版社.2004
[5]赵春华.电子技术基础仿真实验北京[M]机械工业出版社.1998
[6] 阎石.数字电子技术基础[J].电气类,2006.05
[7] 童诗白.模拟电子技术基础[J].电气类,2006.05
[8] 傅劲松.《电子制作实例集锦》[M].福建科技技术出版
社,2006.
[9] 廖先芸.《电子技术实践与训练》[M].高等教育出版
社,2000.
[10] 孙梅升.《电子技术基础课程设计》[M].高等教育出
版社,2003.
[11] 康华光,《电子技术基础数字部分(第五版)》,北京,高等教育出
版社,2006年。