数字电路设计--------二十四进制计数器
24进制计数器
沈阳工业大学实验报告(适用计算机程序设计类)实验名称:24进制加法计数器1.实验目的:(1)学习掌握VHDL语言程序编写的方法,并利用其解决实际问题。
(2)学习掌握QuartusII应用软件,练习使用原理图输入设计方法,并正确使用软件仿真解决实际问题。
(3)学习掌握EDA实验系统的应用,学会利用其解决实际的问题。
2.实验内容:(1)学习掌握利用QuartusII应用软件进行工作库文件的创建、VHDL语言的编写、工程创建、时序仿真及引脚设置和下载等操作。
(2)学习掌握EDA实验系统模式的选择及引脚的查询等使用操作。
(3)利用VHDL硬件描述语言及原理图输入设计方法,设计一个24进制加法计数器,并利用QuartusII进行功能仿真,并通过EDA实验系统验证其功能的正确性。
3.实验方案(程序设计说明)(1)明确所要设计的电子线路所要实现的功能和要求,并设计方案。
(2)根据自己的设计方案,设计一个十进制的VHDL硬件描述语言。
(3)利用QuartusII将十进制的VHDL硬件描述语言转化为原理图。
(4)利用QuartusII软件创建工程,并进行时序仿真,观察波形图。
(5)把设计的VHDL硬件语言进行引脚设置,下载到EDA实验系统。
4. 实验步骤或程序(经调试后正确的源程序)附件A 沈阳工业大学实验报告(适用计算机程序设计类)1、建立10进制计数器工作库文件夹、并编辑设计VHDL 文件2、创建10进制加法计数器的工程见附件A5.程序运行结果绘制的仿真波形图能够正确的反映出所设计电子线路的功能,下载到EDA 实验系统的文件,能够通过EDA 实验系统正确的实现其功能。
6.出现的问题及解决方法问题:在利用原理图创建24进制计数器工程时,把10进制计数器原理图的工程替换了解决方法:重新创建10进制计数器工程,并构建24进制计数器。
3、利用原理图输入设计法设计24进制加法计数器4、创建24进制加法计数器的工程5、24进制加法计数器时序仿真6、引脚设置(模式5)选择模式5的数码管1和数码管2Q0[0] 引脚:PIO16-13 Q0[1] 引脚:PIO17-14 Q0[2] 引脚:PIO18-15 Q0[3] 引脚:PIO19-16 Q1[0] 引脚:PIO20-17 Q1[1] 引脚:PIO21-18 Q1[2] 引脚:PIO22-19 Q1[3] 引脚:PIO23-20 CLOCK: 选择clock2-1537、配置文件下载。
数字电路实验报告——24进制计数器逻辑功能及其应用
24进制计数器逻辑功能及其应用一、实验目的:1. 熟悉中等规模集成电路计数器74LS160的逻辑功能,使用方法及应用。
2. 掌握构成计数器的方法。
二、实验设备及器件:1. 数字逻辑电路实验板1片2. 74HC90同步加法二进制计数器2片3. 74HC00二输入四与非门1片4. 74HC04 非门1片三、实验原理:计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。
计数器种类很多。
按构成计数器中的各触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器。
根据计数制的不同,分为二进制计数器,十进制计数器和任意进制计数器。
根据计数的增减趋势,又分为加法、减法和可逆计数器。
还有可预置数和可编程序功能计数器等等。
目前,无论是TTL还是CMOS集成电路,都有品种较齐全的中规模集成计数器。
使用者只要借助于器件手册提供的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。
集成计数器74HC90是二-五-十进制计数器,其管脚排列如图。
四、实验内容实验电路图:用74HC00与非门和74HC04的非门串联,构成与门。
74HC00的引脚图和真值表如图:74HC04的引脚图与真值表如图:按实验电路图,参照各个芯片的引脚图和真值表,连接电路。
其中Q0到Q3分别连到数码管的对应的D0到D3,CP0端接到时钟脉冲,然后检查电路无误后,加电源,观察现象。
实验结果:个位数码管随时间显示0、1、2、3、4、5、6、7、8、9,十位数码管显示个位进位计数结果,按0、1、2变化,当数字增加到23后,数码管自动清零,又从零开始变化。
五、实验心得:本次实验,通过对计数器工作过程的探索,基本上了解了数码计数器的工作原理,以及74HC160的数字特点,让我更进一步掌握了如何做好数字电子数字实验,也让我认识到自身理论知识的不足和实践能力的差距,以及对理论结合实践的科学方法有了更深刻理解。
24进制计数器设计报告
..1. 设计任务1.1设计目的1. 了解计数器的组成及工作原理。
2. 进一步掌握计数器的设计方法和计数器相互级联的方法。
3. 进一步掌握各芯片的逻辑功能及使用方法。
4. 进一步掌握数字系统的制作和布线方法。
5. 熟悉集成电路的引脚安排。
1.2 设计指标1. 以24为一个周期,且具有自动清零功能。
2. 能显示当前计数状态。
1.3 设计要求1. 画出总体设计框图,以说明计数器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向。
并以文字对原理作辅助说明。
2. 设计各个功能模块的电路图,加上原理说明。
3. 选择合适的元器件,利用multisim 仿真软件验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在确定电路充分正确性同时,输入信号和输出方式要便于电路的测试和故障排除。
4. 在验证各个功能模块基础上,对整个电路的元器件和布线进行合理布局。
5.打印PCB 板,腐蚀,钻孔,插元器件,焊接再就对整个计数器电路进行调试。
2.设计思路与总体框图.计数器由计数器、译码器、显示器三部分电路组成,再由555定时器组成的多谐振荡器来产生方波,充当计数脉冲来作为计数器的时钟信号,计数结果通过译码器显示。
图1所示为计数器的一般结构框图。
2CRCR CR▲图 1 计数器结构框图3.系统硬件电路的设计3.1 555多谐荡电路555多谐振荡电路由NE555P 芯片、电阻和电容组成。
由NE555P 的3脚输 出方波。
▲图 2 555电路计数脉冲(由555电路产生)异步清零计数器个位位数码示像译码驱动CP CP强制清零3.2 计数器电路集成计数芯片一般都设置有清零输入端和置数输入端,而且无论是清零还是置数都有同步和异步之分。
有的集成计数器采用同步方式,即当CP触发沿到来时才能完成清零或置数任务;有的集成计数器则采用异步方式,即通过触发器的异步输入端来直接实现清零或置数,与CP信号无关。
设计一个24进制计数器
一.整体清零法实现24进制计数器
1.设计过程:
【该部分打印报告时可留出足够的空白处手写完成,也可以电子版的形式直接书写到此处】
2.所用器件:
【包括器件型号、功能及数量】
3.仿真实现过程:
【仿真电路图可以以附表的形式在实验报告后面单,此处描述仿真调试过程,即:在调试时遇到了哪些实际问题,你是如何解决的?】
二.整体置数法实现24进制计数器
1.设计过程:
2.所用器件:
3.仿真实现过程:
实验心得
附录1:整体清零法仿真电路图
附录2:整体置数法仿真电路图
附录2:方案二仿真电路图
附录3:方案三仿真电路图
附录4:方案四仿真电路图
提高要求:用数码管显示24个状态对应的十进制数【完成附带该部分功能的全部功能最高平时成绩10分】(如实验室不具备搭接实物条件,仿真完成并对原理清楚掌握,能够顺利回答老师验收时提问即可。)
5.在该实验报告中要有完整的设计过程、仿真电路图和实验调试过程。
6.总结本次实验的收获、体会以及建议,填入本实验报告的相应位置中。【收获、体会必须写!】
阶段性考核之三:【平时成绩10分】
时序逻辑部分设计型实验报告
实验题目
设计一个24进制计数器
学生姓名
班 级
学 号
任课教师
实验成绩
完成时间
实验题目
设计一个24进制计数器
实验目的
本次实验要求学生设计一个24进制计数器电路。其目的在于:
1.使学生深入理解分立元件构成的时序逻辑电路设计过程;
2.进一步锻炼学生的动手实践能力。
具体
实验
要求
选用4位二进制集成计数器74LS161设计一个24进制计数器。
24进制计数器proteus实验报告
24进制计数器proteus实验报告24进制计数器是在电子系统的设计中经常使用的计数器,具有高速、稳定、精度高等特点,因此在各种计数相关的操作和应用中被广泛使用。
本次实验的目的是在Proteus软件中实现24进制计数器,并验证其计数功能和参数的准确性。
实验器材:- Proteus软件-一块PIC16F877A单片机-一个24进制数码管实验原理:24进制计数器是指计数器的基数为24,即每一次计数器加1所表示的是24进制数中的1。
在本次实验中,我们将采用PIC16F877A单片机来实现24进制计数器,通过单片机来对计数器的计数值进行控制和显示。
具体实现需要根据PIC16F877A的编程和控制的特点,针对24进制计数器设计适当的算法与操作。
实验过程:1.根据24进制计数器的原理,确定计数器所采用的基数为24,编写程序,对PIC16F877A进行初始化和IO口配置。
2.在Proteus软件中,添加PIC16F877A单片机和24进制数码管,并连接需要的电路。
3.编写程序,设置计数范围,并实现对计数值的加1和显示。
4.进行仿真测试,查看计数器的正确性和稳定性。
5.通过调整程序和电路参数,优化计数器的性能和准确性。
实验结果:经过一系列的设计和测试,实验结果表明,本次24进制计数器的实验操作成功,可以实现稳定的计数功能。
在计数器运行的过程中,可以正确显示当前的计数值,并能够正常进行加1操作。
同时,在根据实际需要调整计数范围和显示参数的过程中,可以使用该计数器进行更加精确和高效率的计数操作。
实验结论:通过本次实验的操作和测试,可以有效地理解和应用24进制计数器的原理和实现方法,掌握PIC16F877A单片机作为控制器的实现技术。
该计数器具有高速、稳定和精度高等特点,在各种计数相关的操作和应用中具有广泛的实用价值。
(数电课设)二十四进制计数器
一.课程设计目的《电子技术基础2-2课程设计》是学习理论课程之后的实践教学环节。
目的是通过解决比较简单的实际问题巩固和加深在《电子技术基础2-2(数字电子技术基础)》课程中所学的理论知识和实验技能。
训练学生综合运用学过的电子技术基础知识,在教师指导下完成查找资料,选择、论证方案,设计电路,安装调试,分析结果,撰写报告等工作。
使学生初步掌握数字电子电路设计的一般方法步骤,通过理论联系实际提高和培养学生分析、解决实际问题的能力和创新能力,为后续课程的学习、毕业设计和毕业后的工作打下一定的基础。
数字电子课程设计是理论教学之后的一个综合性实践教学环节,是对课程理论和课程实验的综合和补充。
学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。
不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的内容。
理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才是真正的知识,才能提高自己的实际动手能力和独立思考的能力。
在设计的过程遇到各种各样的问题,同时在设计的过程中发现自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,通过这次课程设计,把以前所学过的知识重新温故,巩固所学的知识。
二.设计方案论证1.设计方案的选择通过查阅资料对不同的设计方案进行比较论证,根据现有条件选择合适的设计方案,Multisim有其丰富的仿真分析能力以及完整的电路原理图图形输入和电路硬件描述语言输入方式,结合了直观的捕捉和功能强大的仿真特点,能快速、轻松、高效地对电路进行设计和验证。
引入Multisim软件帮助我们快速且轻松地将刚学到的理论知识用计算机仿针真实地再现出来。
24进制计数器课程设计
24进制计数器课程设计一、课程目标知识目标:1. 学生能理解24进制计数原理,掌握24进制与十进制的转换方法;2. 学生能运用24进制进行简单数学运算,如加、减、乘、除;3. 学生了解24进制在实际应用中的优势,例如在时间计算、编码等领域。
技能目标:1. 学生能够独立完成24进制与十进制的相互转换;2. 学生能够运用24进制进行基本的数学运算,解决实际问题;3. 学生通过小组合作,设计并制作一个简单的24进制计数器。
情感态度价值观目标:1. 学生培养对进制转换的兴趣,提高学习数学的积极性;2. 学生养成合作、探究的学习习惯,增强团队协作能力;3. 学生认识到数学在生活中的广泛应用,提高数学素养。
课程性质:本课程属于数学课程,旨在让学生掌握进制转换知识,提高数学应用能力。
学生特点:六年级学生具备一定的数学基础,思维活跃,好奇心强,喜欢探究新知识。
教学要求:注重理论与实践相结合,鼓励学生动手实践,培养实际操作能力;强调小组合作,培养学生的团队协作精神。
通过本课程的学习,使学生能够将所学知识应用于实际生活中,提高解决问题的能力。
二、教学内容1. 24进制计数原理介绍:通过课本知识,讲解24进制的定义,对比十进制,让学生理解进制的概念和转换方法。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第二节“认识进制”。
2. 24进制与十进制的转换:详细讲解转换方法,举例说明,让学生掌握两种进制之间的转换技巧。
- 教学安排:2课时。
3. 24进制数学运算:讲解24进制下的加、减、乘、除运算规则,让学生学会运用24进制进行计算。
- 教学安排:2课时。
4. 实际应用案例分析:分析24进制在实际生活中的应用,如时间计算、编码等,提高学生的实际应用能力。
- 章节关联:《数学》六年级上册,第三章“数的认识”,第四节“进制在实际生活中的应用”。
5. 小组合作制作24进制计数器:分组让学生设计并制作计数器,巩固所学知识,培养学生的动手能力和团队协作精神。
eda24进制计数器设计
EDA24进制计数器设计1. 任务背景计数器是计算机系统中常见的一种电路,用来实现对数字进行计数的功能。
传统的计数器一般是采用二进制表示数字,然而在某些特定的应用场景中,使用其他进制的计数器能够更方便和高效。
EDA24进制计数器是指使用24进制来表示数字的计数器。
24进制是一种特殊的进制,它由24个数字符号(0-23)组成,分别对应于十进制的0-9、字母A-J、字母K-T和字母U-Y。
使用24进制计数器可以更精确地表示某些特定范围内的数字,而且减少了数字的位数和转换过程中的计算复杂度。
本文将介绍如何设计一个EDA24进制计数器,包括计数器的原理、硬件设计和功能实现等方面的内容。
2. 原理介绍EDA24进制计数器的工作原理与传统的计数器类似,主要分为三个部分:计数器状态存储、计数器状态更新和计数器输出。
2.1 计数器状态存储EDA24进制计数器需要使用存储器来保存当前的计数器状态。
由于EDA24进制有24个数字符号,每个符号对应一个存储单元,因此需要一个24位的存储器来存储计数器的状态。
存储器的结构可以采用RAM或者寄存器等形式。
当计数器进行更新时,计数器状态存储器会读取新的计数器状态。
2.2 计数器状态更新EDA24进制计数器的计数逻辑与二进制计数器类似,但需要对进位的处理进行特殊处理。
在24进制下,当某一位达到23时,需要进行进位操作,并将低位的符号进行进位。
例如,当计数器达到23时,进位得到的数字为10(对应K),并将低位的数字进行滚动。
以一个4位的EDA24进制计数器为例,计数范围为0000~2323。
初始状态为0000,当计数值增加时,每一位的变化规律如下:•当个位(最低位)从0~2变化时,直接递增;•当个位达到3时,个位变为0,十位(倒数第二位)递增;•当十位从0~2变化时,直接递增;•当十位达到3时,十位变为0,百位(倒数第三位)递增;•当百位从0~2变化时,直接递增;•当百位达到3时,百位变为0,千位(最高位)递增;•当千位从0~2变化时,直接递增;•当千位达到3时,计数器归零。
24进制计数器原理
24进制计数器原理一、概述24进制计数器是一种基于二进制计数器的扩展,可以用来计算更大的数字。
它采用了24个不同的数字,分别为0-9和A-Q,每个数字占据4个二进制位。
在实际应用中,24进制计数器常用于时间、频率等领域。
二、二进制计数器在介绍24进制计数器之前,我们先来了解一下二进制计数器。
二进制计数器是由若干个触发器组成的,每个触发器都能存储一个比特位(0或1),并且能够控制下一个触发器的状态。
当所有触发器的状态从000...0到111...1时,就完成了一次循环。
三、24进制表示法在24进制表示法中,每个数字占据4个二进制位。
这样做的好处是可以使用现有的逻辑门电路来实现24进制计数器。
四、实现原理1. 计数范围由于每个数字占据4个二进制位,因此一个4位的24进制数字可以表示$2^4=16$种不同的状态。
如果使用n个这样的数字组成一个n*4位的数字,则可以表示$24^{n}$种不同状态。
例如:使用3个4位的数字组成12位数字,则可以表示$24^{3}=13824$种不同状态。
2. 递增逻辑递增逻辑是指在当前状态下,如何将计数器的值加1。
对于24进制计数器,可以使用以下方法:(1) 将最低位数字加1。
如果最低位数字小于23,则直接加1;否则将最低位数字置为0,同时将第二低位数字加1。
(2) 如果第二低位数字也等于23,则继续向高位进位,直到某一位的数字小于23为止。
3. 递减逻辑递减逻辑是指在当前状态下,如何将计数器的值减1。
对于24进制计数器,可以使用以下方法:(1) 将最低位数字减1。
如果最低位数字大于0,则直接减1;否则将最低位数字置为23,同时将第二低位数字减1。
(2) 如果第二低位数字也等于0,则继续向高位借位,直到某一位的数字大于0为止。
五、应用场景24进制计数器常用于时间、频率等领域。
例如,在一个以秒为单位的定时器中,如果采用二进制计数器,则需要至少30个比特才能表示一个小时($2^{30}$秒)。
EDA 二十四进制计数器设计
实训报告课程名称:学生姓名:学号:专业班级:年月日南昌大学实训报告学生姓名:学号:专业班级:设计□创新实训日期:实验成绩:实训类型:□验证□综合一、实训项目名称24进制计数器设计二、实训目的由中规模可预置二进制加法计数器40161和门电路组成二十四进制计数器(8421BCD码)三、实训要求1.熟悉仿真开发软件Quartus II的使用;2.根据功能要求,用原理图或文本输入方式完成设计;3.用Quartus II做波形仿真调试;4.下载至EDA试验仪调试设计。
四、实训基本原理(附源程序清单,原理图、RTL图)用两个ls161为主,其中一个为输出结果的低四位,另一个为输出结果的高4位,低四位从0000到1001(即十进制的九)然后置0000 并且高四位加1,如此到高四位为0010,第四位为0011,这时计数到23,进位信号输出1,同时8位输出同时置0;原理图:源程序:module ls161(Q,RCO,D,ET,EP,LOAD,CLR,CLK); output [3:0]Q;output RCO;input [3:0]D;input LOAD,ET,EP,CLR,CLK;reg [3:0]Q;wire EN;assign EN=ET&EP;always@(posedge CLK or negedge CLR)beginif(!CLR)Q=4'b0000;else if(!LOAD)Q=D;else if(EN)beginif(Q==15)Q=0;elseQ=Q+1;endendassign RCO=((Q==4'b1111)&EN)?1:0; endmodulemodule XS7D(DIN,DOUT);input [3:0] DIN;output [6:0] DOUT;reg [6:0]DOUT;always@(DIN)begincase(DIN)0:DOUT='b0111111;1:DOUT='b0000110;2:DOUT='b1011011;3:DOUT='b1001111;4:DOUT='b1100110;5:DOUT='b1101101;6:DOUT='b1111101;7:DOUT='b0000111;8:DOUT='b1111111;9:DOUT='b1101111;endcaseendendmodulemodule qzm(DOUTH,DOUTL,CO,CLK);output DOUTH,DOUTL,CO;input CLK;wire [3:0]QH;wire [3:0]QL;wire [3:0]D;wire [6:0]DOUTH;wire [6:0]DOUTL;wire CO,LOADL,LOADH,LOADR,ENR;wire VCC,GND;assign D=4'b0000,VCC=1,GND=0;ls161 u1(.Q(QL),.RCO(GND),.D(D),.ET(VCC),.EP(VCC),.LOAD(LOADH),.CL R(VCC),.CLK(CLK));ls161 u2 (.Q(QH),.RCO(GND),.D(D),.ET(ENR),.EP(ENR),.LOAD(LOADL),.CL R(VCC),.CLK(CLK));nand u3 (LOADR,QL[0],QL[3]);nand u4 (LOADL,QH[1],QL[1],QL[0]);not u5 (ENR,LOADR);not u6 (CO,LOADL);and u7 (LOADH,LOADR,LOADL);XS7D u8 (.DIN(QL),.DOUT(DOUTL));XS7D u9 (.DIN(QH),.DOUT(DOUTH));endmoduleRTL图:五、主要仪器设备、软件及耗材Quartus II EDA开发板六、调试过程及处理结果波形图;七、思考讨论题或体会或对改进实验的建议。
eda24进制计数器设计
设计一个EDA(电子设计自动化)工具的24进制计数器的基本步骤如下:
1. 构建基本逻辑单元:
在设计24进制计数器之前,首先需要构建基本逻辑单元,如触发器或锁存器,以便存储和处理计数。
2. 确定计数范围:
在开始设计前,需要确定计数器的计数范围。
例如,如果需要表示0到23的范围,则计数器需要能在该范围内有效计数。
3. 推导需求特征:
基于你选择的基本逻辑单元推导组合逻辑和时序逻辑特征,以设计恰当的计数器。
4. 设计状态机:
设计一个有限状态机(FSM),以观察计数器状态的转换并确保可靠性和稳定性。
5. 设计24进制加法器:
设计一个全加器以实现24进制数的加法操作。
由于24进制计数器每个位最高数值为23(用0-N表示,例如0-9、A-N或0-夜,按照标准24进制),因此需要考虑进位。
6. 链接基本组件:
将设计好的24进制加法器连接到触发器或锁存器,以更新计数值并从一个状态迁移到另一个状态。
7. 时钟控制:
引入时钟模块来控制计数器的工作节奏。
每次时钟周期到达时,计数器将更新计数值。
8. 设计复位和清零逻辑:
实现一个逻辑控制来负责复位及清零操作,将计数器重置为初始状态。
9. 进行仿真测试:
运行仿真测试,检查计数器在不同条件下的运行情况,确保其准确、可靠地工作。
10. 设计可视化界面:
创建一个可视化界面,以便于用户直观地获取计数器状态和计数过程。
24进制计数器的设计与调试.
成绩评定
项目名称 任务名称
任务要求
电路功能及 器件要求 测试设备 及元器件 设计步骤 测试电路
项目 4:计数器电路的设计与制作
任务 4-2 二十四进制计数器的设计与调试
完成原理图设计、元器件选型、电路装接与调试、电路逻辑功能检测、设
计文档编写。
用一片 CD4518 及简单门电路设计一个二十四进制计数器。
集成电路 CD4518 、74LS00、译码显示电路
数字电路实验箱
(1 只)
万用表
(1 路。
测试步骤 注:请写出测试步骤
设计人
设计日期
设计步骤:(要求写出具体的设计过程,画出设计电路图)
Step1:画出二十四进制计数器的设计电路图
Step2:利用 CD4518 实现二十四进制电路,参考电路连线图如下图所示。
-1-
Step3:测试步骤与结果:(记录故障现象与排除方法)
结论与体会: 拓展设计:
用一片 74161 及简单门电路设计一个二十四进制计数器。。
-2-
24进制计数器的设计实验原理
24进制计数器是一种计数器,用于在基于24进制的系统中进行计数。
以下是24进制计数器的设计实验原理的基本步骤:
确定计数器位数:确定需要的计数器位数,以决定可以表示的计数范围。
例如,如果需要计数范围为0-23,需要至少4位二进制计数器。
设计逻辑电路:使用逻辑门和触发器等基本组件,设计一个适当的电路来实现24进制计数器。
可以使用不同的设计方法,如同步计数器或异步计数器。
确定计数器状态:确定计数器的各个状态,即在每个计数值时,计数器的输出应该是什么。
在24进制计数器中,状态可以表示为从00到23的不同值。
设计计数器电路:根据计数器位数和状态确定逻辑电路的连接和触发器的触发方式,以实现从一个状态到另一个状态的转换。
确保适当的电路延迟和稳定性。
进行仿真和测试:使用电路设计软件进行仿真和测试,验证计数器的功能和正确性。
检查计数器是否按预期计数,并在达到最大计数值时正确回滚到最小计数值。
制作电路原型:将电路设计制作成电路板或使用开发板进行实际硬件实现。
确保连接正确并进行电路调试。
进行计数器实验:将实现的24进制计数器连接到适当的输入和输出设备,并进行计数器实验。
检查计数器的行为和输出是否符合预期。
这些是24进制计数器的基本设计实验原理。
具体的设计步骤和实验要求可能会根据实验的具体目标和要求有所不同。
在进行设计和实验时,确保遵循正确的电路设计原则和实验安全规范。
数字电路设计--------二十四进制计数器
数字电路设计姓名: ***学号: ****************** 班级:电信 111专业:电子信息科学与技术一.设计题目二十四进制计数器的设计二.设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
三.设计任务(1)完成一个二十四进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
23显示后,又从00重新开始计数。
四.设计思路与原理(一)设计思路框图→→→→(二)LED简介LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。
其真值表如下:(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源五.电路图仿真二十四进制计数器电路仿真六.心得体会通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。
利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。
虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。
虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。
和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。
在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。
24进制计数器
学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:同步计数器专业名称:电子科学与技术班级:32050801学号:3205080127学生姓名:柯斌教师姓名:程鸿亮2010年_11月 13日组别_____________________同组同学李福来实验日期2011年11月13日实验室名称成绩_____一、实验名称:同步计数器二、实验目的与要求:设计一个同步二十四进制计数器,理解触发器同步计数工作机制,掌握同步触发控制的VHDL描述方法以及异步清零的描述方法。
三、实验内容:通过VHDL编程,实现一个同步二十四进制计数器,要求有1个异步清零端、1个时钟脉冲输入、驱动7段数码管显示的个位和十位信号端四、实验条件:1. WindowsXP操作系统2. QuartusII EDA开发系统3. 杭州康芯SOPC硬件开发平台五、实验原理:clk:计数时钟脉冲输入;clr:异步清零信号,高电平有效,此时输出显示为“00”ten[6..0]:十位数的7段数码管显示输出;one[6..0]:个位数的7段数码管显示输出;六、源代码:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-------------------------------entity cnt24 isport(clk,clr:in std_logic;ten,one:out std_logic_vector(6 downto 0);co:out std_logic);end entity cnt24;---------------------------architecture str of cnt24 issignal ten_temp,one_temp:std_logic_vector(3 downto 0); begin--------------------------process(clr,clk) isbeginif (clr='1') thenten_temp<="0000";one_temp<="0000";co<='0';elsif (clk'event and clk='1') thenif(one_temp="0011" and ten_temp="0010") then one_temp<="0000";ten_temp<="0000";co<='1';elsif(one_temp="1001") thenten_temp<=ten_temp+1;one_temp<="0000";elseone_temp<=one_temp+1;end if;end if;end process;----------------------------process(one_temp) isbegincase one_temp iswhen"0000"=>one<="1111110";when"0001"=>one<="0110000";when"0010"=>one<="1101101";when"0011"=>one<="1111001";when"0100"=>one<="0110011";when"0101"=>one<="1011011";when"0110"=>one<="1011111";when"0111"=>one<="1110000";when"1000"=>one<="1111111";when"1001"=>one<="1111011";when others=>one<="1111110"; end case;end process;---------------------------------- process(ten_temp)isbegincase ten_temp iswhen"0000"=>ten<="0000000";when"0001"=>ten<="0110000";when"0010"=>ten<="1101101";when others=>ten<="1111110"; end case;end process;-----------------------------------end str;七、实验结果与分析:1,RTL仿真如下:2,时序仿真结果:3,代码下载后的部分实例:计数到23时,无进位。
24进制计数器课程设计
24进制计数器设计系别:电子工程系专业:应用电子班级:学生姓名:学号:指导教师:设计流程图目录1、74LS290计数器、虚拟电子实验室相关 (3)1.1、74LS290计数器介绍 (3)1.2、M ULTISIM介绍及基本操作方法 (4)1.2.1、Multisim的主窗口界面。
(4)1.2.2、菜单栏(这里只介绍需要使用的菜单) (4)1.2.3、工具栏 (6)2、电路设计 (7)2.1计数器电路原理 (7)2.2、电路仿真效果图试 (8)3、元器件及仪器设备明细 (8)4、总结 (9)5、参考文献 (10)6、致谢 (11)前言本24进制计数器实现24进制计数及自动清零功能,它主要由脉冲、10进制加法器74LS290、共阴极LED数码管等构成。
通过找课外书,上网查找有关该设计方面的知识,自学Multisim10软件的操作,并进行防真实验,在28号到29查找资料学习计数器的知识,选出合适的芯片来设计电路,学习并使用虚拟电子实验室的基本用法。
30号以后进行电路的设计以及仿真调试。
1、74ls290计数器、虚拟电子实验室相关1.1、74ls290计数器介绍(1)74ls290的逻辑符号示意图:CP1、CP0是脉冲输入端,S9A\S9B、R0A\S0B、是置数控制端。
Q0\Q1\Q2\Q3是输出端。
(2)74ls2290的逻辑功能:74LS290是异步十进制计数器。
它由一个一位二进制计数器和一个异步五进制计数器组成。
如果计数脉冲由端CP0输入,输出由Q0端引出,即得二进制计数器;如果计数脉冲由CP1端输入,输出由Q1Q2Q3引出,即是五进制计数器;如果将Q0与CP1相连,计数脉冲由CP0输入,输出由Q0Q1Q2Q3引出,即得8421码十进制计数器。
因此,又称此电路为二-五-十进制计数器。
当复位输入R0AR0B=1,且置位输入S9AS9B=0时,74LS290的输出被直接置零;只要置位输入S9AS9B=1,则74LS290的输出将被直接置9,即Q0Q1Q2Q3=1001;只有同时满足R0AR0B=0和S9AS9B=0时,才能在计数脉冲(下降沿)作用下实现二-五-十进制加法计数。
设计24进制的计数器用VHDL实现
设计24进制的计数器用VHDL实现 设计24进制的计数器用VHDL实现 24进制的计数器用VHDL
实验目的:
• 通过两个十进制计数器 74ls160实现成一个二十四进制 的计数器,并且用vhdl硬件仿真 来实现它。
设计思路:
• 首先通过一个十进制计数器 74ls160,把它设计成为一个四 进制的计数器,然后用另一个十 进制的计数器74ls160,将它设计 成为一个六进制的计数器,最后 将他们用并行输出的方法连接起 来,从而就设计出了一个二十四 进制的计数器。
实验步骤:
• 首先利用一个十进制74ls160将其转换 成一个四进制的计数器,如图所示
D0
CP
D1
D2
D3 C CP “1”
LD
D0
D1
D2
D3 C
ET EP
74ls160
Q0 Q1 Q2 Q3
ET
74ls160
Q0 Q1 Q2 Q3
LD
“1”
RD
EP
RD
“1”
&
• 其次利用一个十进制74ls160将其转换 成一个六进制的计数器,如图所示
谢谢观赏
如图所示:
引脚锁定:
• 实验结论: 通过对两个相同的十进制计数器 74ls160的转换,最后成功的将其 转换成为二十四进制的计数器,理 论和实践统一。
• 经验总结: 通过学习书本上的知识和搜索课外书 籍,使我基本掌握了设计计数器的方法, 更重要老师对我的帮助,使我对计数器 产生了很大的兴趣,才能坚持将计数器 做完。在设计过程中遇到了很多问题, 尤其是在仿真时,最后一步引脚锁定, 不知如何下手,通过老师的讲解和同学 们的讨论,最后成功了。通过这次试验, 我要继续认真学习数字电子技术这本书, 了解更多的不同的设计方法。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字电路设计
姓名:***
学号:******************
班级:电信111
专业:电子信息科学与技术
一.设计题目
二十四进制计数器的设计
二.设计要求
(1)要求学生掌握74系列的芯片和LED的原理和使用方法。
(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。
三.设计任务
(1)完成一个二十四进制的计数器。
(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。
23显示后,又从00重新开始计数。
四.设计思路与原理
(一)设计思路框图
→→→
→
(二)LED简介
LED是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。
七段发光管分别称为a、b、c、d、e、f,g,构成字型“8”,如图(a)
所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。
不加电压则变暗,为了保护各段LED不被损坏,需外加限流电阻。
其真值表如下:
(三)原件总汇表:计数器74LS00D(U7A,U7B),74HC390N-6V(U3A,U6A),74LS47N(U1,U5);与门:时钟脉冲:显示器:发光二极管:电感:电容:电源
五.电路图仿真
二十四进制计数器电路仿真
六.心得体会
通过这一次的数字电路设计,是我更深的了解到了数字电路的基础知识,电路分析与计算的方法。
利用仿真软件对电路进行一系列的分析仿真,更加抽象的将理论知识与实际电路结合在一起,加深了对数电一些基本定理的理解与运用。
虽然在这学期中,数字电子技术基础学的不是很好,但是在这次的课程设计中通过同学的帮组还是完成了。
虽然做的不是很好,但是从中也让我明白了:要想做好这个课程设计,就必须认认真真地去做,不要怕麻烦,遇到不懂的问题就要主动去问同学或者老师。
和查阅材料,保持着一个积极向上的心态,发挥我们自己的主观能动性和创造了才能让我们做的更好。
在这次课程设计中让我学到了很多东西,在经过我们一个学期的数字电子技术基础课后,我们已经对数字电子技术有一定的了解,让我们有了一定的基础可以独立完成数字电子技术基础课程设计了,不过当中还是遇到许多不懂的问题。
THANKS !!!
致力为企业和个人提供合同协议,策划案计划书,学习课件等等
打造全网一站式需求
欢迎您的下载,资料仅供参考。