数字电子技术课程设计报告-电梯控制
电梯控制——课程设计
电梯控制——课程设计安徽工程大学机电学院课程设计说明书课程设计名称:电子课程设计课程设计题目:电梯控制指导老师:郭慧专业班级:电气2124班学生姓名:訾化东学号: 312107040444起始日期: 2014年6月27日总评成绩:课程设计任务书电梯控制设计三层电梯控制器。
已知每层楼有上、下开关,电梯到达与否的位置检测传感器。
电梯内有1、2、3个电梯层按钮,以及开门、关门按钮。
要求:正确控制电梯的运行,每楼层能显示电梯所处当前楼层位置。
指导老师(签名)年月日前言电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。
多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯。
在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。
据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。
当今世界,电梯的使用量已成为衡量现代化程度的标志之一。
追溯电梯这种升降设备的历史,据说它起源于公元前236年的古希腊。
当时有个叫阿基米德的人设计出-----人力驱动的卷筒式卷扬机。
1858年以蒸汽机为动力的客梯,在美国出现,继而又在英国出现水压梯。
1889年美国的奥梯斯电梯公司首先使用电动机作为电梯动力,这才出现名副其实的电梯,并使电梯趋于实用化。
1900年还出现了第一台自动扶梯。
1949年出现了群控电梯,首批4—6台群控电梯在纽约的联合国大厦被使用。
1955年出现了小型计算机(真空管)控制电梯。
1962年美国出现了速度达8米/秒的超高速电梯。
1963年一些先进工业国只成了无触点半导体逻辑控制电梯。
1967年可控硅应用于电梯,使电梯的拖动系统筒化,性能提高。
1971年集成电路被应用于电梯。
第二年又出现了数控电梯。
1976年微处理机开始用于电梯,使电梯的电气控制进入了一个新的发展时期。
实际上,电梯是根据外部呼叫信号以及自身控制规律等运行的,而呼叫是随机的,电梯实际上是一个人机交互式的控制系统,单纯用顺序控制或逻辑控制是不能满足要求的,因此,电梯控制系统应采用随机逻辑控制方式控制。
PLC课程设计报告(6层电梯控制)
课程设计报告(论文)设计课题:PLC课程设计专业班级:电气自动化10241PLC 课程设计任务书指导教师:郭速学教研室主任:2011年06 月13 日目录一概述 (5)二方案设计与论证 (5)三.单元电路设计与参数计算 (6)四PLC端子分配 (7)五.硬件原理图 (8)六.主要梯形图清单 (8)1. 开门与关门控制2. 厢外呼叫显示3. 厢内命令处理4. 上升控制5. 下降控制七.心得体会 (34)八.参考文献 (34)九.成绩评定表 (35)一、概述在本次设计中,我将利用PLC来实现对电梯的控制。
在当今时代由于工业自动化程度的不断提高对自动化控制的要求也日趋增加,PLC则能在很大程度上很广的范围内实现自动化控制。
20世纪60年代末,为了克服传统继电器的种种应用上的缺点,人们研制出了一种先进的自动控制设备PLC,由于PLC具有优良的技术性能,因此它一问世就很快得到了推广应用。
现在PLC作为用于工业生产过程控制的专用计算机,与商家、家用的微机不同,由于控制对象的复杂性,使用环境的特殊性和工作运行的连续性,使其在设计上有许多特点。
可靠性高,抗干扰能力强;编程方法简单、直观;体积小、耗能低、重量轻;硬件配套齐全,用户使用方便,适应性强;系统的设计/安装、调试工作量少;维修工作量小、维护方便;接口模块功能强、品种多。
因此,PLC行业已经在工业市场上占有一大片领地。
目前,PLC在国内外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保及文化娱乐等各个行业。
本次设计包括对PLC硬件的设计,软件设计,驻点路的设计,控制柜的设计,以及电梯惦记和其他设备的选择,还有原理分析等。
相信此次设计后,我对电梯的实际运行以及PLC的基本原理和控制过程能有初步的掌握。
二、方案设计与论证系统设计方案框图系统设计方案框图如下图所示。
三、单元电路设计与参数计算A.电梯轿箱的控制要求:①选向:根据电梯各层内选外呼信号的先后和停止时轿箱所在的楼层位置决定电梯的运行方向。
电梯控制器课设报告
SPOC/EDA综合课程设计——电梯控制器设计目录第一章设计的性质与目的 (2)第二章设计任务与要求 (3)第三章方案选择与论证 (4)第四章设计原理 (5)4.1 原理图 (5)4.2 流程图 (5)4.3 结构框图 (6)4.4 程序描述 (6)第五章部分时序仿真图 (15)5.1 电梯上锁 (15)5.2 电梯报警 (15)5.3 电梯超载 (15)5.4 电梯运行模拟 (16)5.5 电梯开门延时模拟 (18)5.6 电梯紧急开门 (19)第七章调试过程中出现的问题及解决措施 (20)第八章设计总结 (21)8.1 设计方案的优缺点比较 (21)8.2 心得体会 (22)作者:吴鑫自动化071 29号第一章设计的性质与目的SOPC/EDA综合课程设计是继《EDA技术及应用》和《数字电子技术》课程之后开出的实践环节教学。
目的是训练学生综合运用学过的《数字电子技术》和《EDA技术及应用》的基本知识,培养独立设计比较复杂的数字逻辑系统的能力。
设计工作建立在硬件和软件两个平台的基础上。
硬件平台是可编程逻辑器件,所选的器件可保证在一片芯片上设计出题目要求的数字电路系统。
软件平台是ALTERA公司的Quartus II。
通过课程设计,学生要掌握使用EDA(电子设计自动化)工具设计数字逻辑的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程。
SPOC/EDA综合课程设计——电梯控制器设计第二章设计任务与要求1.设计一个4层电梯全自动控制电路。
2.电梯运行锁用一按钮代替(开锁上电),低电平可以运行,高电平不能运行。
3.每层电梯入口处设有上行、下行请求按钮,电梯内设有乘客到达层次的停站要求开关,高电平有效。
4.有电梯所处楼层指示灯和电梯上行、下行状态指示灯。
5.电梯到达某一层时,该层指示灯亮,并一直保持到电梯到达另一层为止。
电梯上行或下行时,相应状态指示灯亮。
6.电梯接收到停站请求后,每层运行2秒,到达停站层,停留2秒后门自动打开,开门指示灯亮,开门6秒后电梯自动关门。
电梯控制系统的课程设计
电梯控制系统的课程设计一、课程目标知识目标:1. 学生能理解电梯控制系统的基本构成和原理,掌握电梯运行过程中涉及的关键技术。
2. 学生能够描述电梯控制系统中的传感器、执行器、控制单元等组件的作用及相互关系。
3. 学生了解电梯控制系统的安全规范和行业标准。
技能目标:1. 学生能够运用所学知识,分析并解决电梯运行过程中可能出现的故障问题。
2. 学生通过小组合作,设计并搭建一个简单的电梯控制系统模型,提高动手实践能力。
3. 学生能够运用相关软件对电梯控制系统进行仿真测试,优化系统性能。
情感态度价值观目标:1. 学生通过学习电梯控制系统,培养对现代智能交通系统的兴趣,提高科学素养。
2. 学生在课程学习中,树立安全意识,关注电梯乘坐安全问题,提高社会责任感。
3. 学生通过小组合作,培养团队协作精神,提高沟通与交流能力。
课程性质:本课程为高二年级电子技术及应用课程的一部分,旨在让学生了解电梯控制系统的基本原理,提高学生的实际操作能力和创新能力。
学生特点:高二学生在电子技术方面已有一定的基础,对实际操作和创新活动有较高的兴趣。
教学要求:结合学生的特点和课程性质,注重理论与实践相结合,提高学生的动手实践能力和解决实际问题的能力。
在教学过程中,关注学生的个体差异,鼓励学生积极参与,培养团队协作精神。
通过本课程的学习,使学生能够将所学知识应用于实际生活,提高学生的综合素质。
二、教学内容本章节教学内容主要包括以下几部分:1. 电梯控制系统概述:介绍电梯控制系统的基本概念、发展历程、应用领域及未来发展趋势。
2. 电梯控制系统组成:详细讲解电梯控制系统的各个组成部分,包括传感器、执行器、控制单元、人机交互界面等,并分析各部分的功能和相互关系。
3. 电梯控制原理:阐述电梯运行过程中的控制原理,包括速度控制、位置控制、群控系统等,结合教材案例分析实际应用。
4. 电梯控制系统设计:介绍电梯控制系统设计的基本流程、方法和注意事项,引导学生运用所学知识进行实际设计。
数字电子技术课程设计(四层电梯控制)
数字电子技术课程设计(四层电梯控制) 目录proteus简介 ................................................ 1 1 方案选择.................................................. 3 2 硬件电路设计 . (3)2.1 脉冲电路设计 ..................................................................... .. (3)2.2 开关电路设计 ..................................................................... .. (4)2.3 显示译码电路设计 ..................................................................... (5)2.4 电梯升降电路设计 ..................................................................... (6)2.5 主控制电路设计 ..................................................................... .................................. 8 3 软件程序设计 (8)3.1 设计流程图 ..................................................................... (8)3.2 程序代码 ..................................................................... ............................................ 10 4 仿真结果及分析 ........................................... 13 5 电路焊接与调试 ........................................... 15 附:元件清单 ............................................... 16 6 心得体会................................................. 17 7 参考文献................................................. 18 本科生课程设计成绩评定表 .. (19)《数字电子技术基础》课程设计任务书proteus简介Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。
数电课程设计-电梯自动控制系统
数电课程设计-电梯自动控制系统(总4页)-CAL-FENGHAI.-(YICAI)-Company One1-CAL-本页仅作为文档封面,使用请直接删除《数字逻辑》课程设计学院:计算机与信息学院参考资料:图书馆藏书和课本2010年7月2日计算机与信息学院、计算机系统结构研究所1、目的(1)让学生掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;(2)进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;(3)初步掌握使用EDA(电子设计自动化)工具设计数字逻辑电路的方法,包括设计输入、编译、软件仿真、下载和硬件仿真等全过程;(4)经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练,通过理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。
2.课程设计题目高楼电梯自动控制系统设计任务和基本要求:(1)系统控制的电梯往返于1-9层楼。
(2)乘客要去的楼层数可手动输入并显示(设为A数)。
(3)电梯运行的楼层数可自动显示(设为B数)。
(4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升;当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降;当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门;(5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。
3.所用主要器件和设备(1)数值比较器74LS85 一片;(2)十进制可逆计数器 74LS192 一片;(3)七段数字译码显示系统(4)十进制至二进制普通编码器4.设计思想步骤<1>实验思想刚开始的实现是分模块的,然后在每个模块的功能都实现之把它们连接起来,分为三个模块,即输入的二进制到十进制的数值编码转换模块、数值比较模块和可逆计数模块。
二进制到十进制的数值编码转换模块包括楼层选择器、状态显示器、译码器和楼层显示器。
电梯控制plc课程设计
电梯控制plc课程设计一、课程目标知识目标:1. 学生能理解PLC(可编程逻辑控制器)的基本原理和在电梯控制系统中的应用。
2. 学生能掌握电梯控制系统中常用的PLC编程指令和程序设计方法。
3. 学生能了解电梯控制系统的安全要求和行业标准。
技能目标:1. 学生能运用PLC进行简单的电梯控制程序编写和调试。
2. 学生能够分析电梯控制系统的故障原因,并提出合理的解决方案。
3. 学生通过小组合作,能够完成一个电梯控制PLC课程设计项目,提高实际操作能力。
情感态度价值观目标:1. 学生对PLC技术及其在电梯控制领域的应用产生兴趣,培养主动学习的态度。
2. 学生在课程设计中,增强团队合作意识,学会倾听、沟通、协作。
3. 学生关注电梯控制系统的安全性和可靠性,树立安全意识,培养社会责任感。
课程性质:本课程为实践性较强的课程,结合理论知识与实际操作,培养学生的动手能力和创新能力。
学生特点:学生具备一定的电子技术基础和编程能力,对新技术充满好奇,喜欢动手实践。
教学要求:教师需注重理论与实践相结合,引导学生主动参与课程设计,培养解决实际问题的能力。
在教学过程中,关注学生的个体差异,鼓励学生互相学习,共同进步。
通过课程目标的实现,使学生在知识、技能和情感态度价值观方面取得具体的学习成果。
二、教学内容1. PLC基本原理:介绍PLC的组成、工作原理、性能特点,结合教材第二章内容,让学生了解PLC在工业控制中的应用。
2. 电梯控制系统概述:分析电梯控制系统的基本要求、结构和功能,参考教材第三章,了解电梯控制系统的分类及发展趋势。
3. PLC编程指令与程序设计:学习PLC的基本编程指令,如逻辑运算、定时器、计数器等,结合教材第四章,让学生掌握电梯控制程序的设计方法。
4. 电梯控制PLC程序编写与调试:根据教材第五章内容,教授学生如何编写和调试电梯控制程序,培养实际操作能力。
5. 电梯控制系统故障分析与解决方案:结合教材第六章,让学生学会分析电梯控制系统故障原因,并提出合理的解决方案。
数字电子技术课程设计简易电梯控制系统
目录一、电路系统总体设计方案以及设计原理二、系统总电路图三、单元电路(子模块、子程序)分析四、电路的安装和调试五、元件清单六、总结与体会课程设计的任务要求1.1、任务:设计一个可用于四层楼的简易电梯控制系统,楼层标记用0-3表示。
要求具有以下功能:(1)假设每次只有一层楼有呼叫,按下呼叫按钮后,呼叫楼层指示灯亮,电梯将从当前楼层运行到呼叫楼层,指示灯熄灭;(2)当电梯超重时,报警灯亮,电梯拒绝运行;(3)系统能手动复位,复位后,电梯停在第0层;(4)可根据实际情况,设计其它功能。
1.2、要求:(1)思路清晰,给出整体设计框图和总电路图;(2)单元电路设计,给出具体设计思路和电路;(3)写出设计报告。
一、电路系统总体设计方案以及设计原理1.1、设计思路和方案(1)主体思路:此电路系统核心元器件为74ls192芯片,我们通过利用与非门和非门以及其他的芯片来实现由系统中25HZ的函数发生器产生的时钟脉冲信号来控制74ls192芯片的加减计数功能。
(2)此电路系统分为两个模块,上半部分由开关、显示器、74ls148芯片、74ls85芯片、74ls192芯片、与非门和非门所组成,用以控制简易电梯的用开关控制的要去的楼层显示以及楼层升降显示(相比前一个显示器有延时,由函数发生器的脉冲信号决定)。
并且能通过74ls192芯片的置数功能管脚来实现电梯楼层的置零功能。
第二个模块即下半部分由单次脉冲源、显示器、74ls192芯片、74ls00芯片、非门和发光二极管所组成,用以控制实现简易电梯的超重报警功能,由单次脉冲源(有上升沿与下降沿)来表示电梯里面人数的增减,依旧还是以74ls192芯片来控制加减计数功能。
电路系统中设置为电梯中超过四人便会有超重报警,此时发光二极管便会发光,同时第二模块的信号通过一个非门使得第一模块的74ls192芯片停止置数,换而实现保持功能,电梯就停止运作,不再上下楼层。
(3)两个模块均由25HZ的函数发生器的脉冲信号实现功能。
数电实验报告-简易三层电梯控制器
数字电路与逻辑设计实验简易电梯控制器实验报告电子工程学院2010211205班张阗目录一、功能设计 (3)1、电梯运行规则 (3)2、实验板硬件实现 (3)二、程序架构 (4)1、分频模块 (5)2、状态机模块 (5)3、寄存器模块 (6)4、外部显示模块 (6)三、程序代码 (7)四、结果仿真 (17)五、实验心得 (20)一、功能设计1、电梯运行规则电梯初始状态为一层开门状态。
电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。
可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。
可现实上升或下降状态。
电梯每秒上升(下降)一层楼。
电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
2、实验板硬件实现(1)控制部分(输入):(2)显示部分(输出):二、程序架构图1 程序架构1、分频模块外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。
2、状态机模块状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。
数字逻辑课程设计-电梯控制系统【范本模板】
华中科技大学课程设计报告数字电路与逻辑设计课程设计报告题目:电梯控制器的设计与实现专业:班级:学号:姓名:电话:邮件:分组:完成日期:指导教师:华中科技大学课程设计报告团队成员:姓名班级学号贡献百分比实验部分完成情况(亮点、完成、基本完成、未完成、时间)总分:实验部分70% + 报告30%)检查老师签名:华中科技大学课程设计报告目录1课程设计概述。
.。
..。
.。
.。
.。
...。
.。
.。
.。
.。
...。
...。
.。
.。
..。
..。
.。
..。
.。
.。
..。
...。
.....。
..。
..。
..。
..。
...。
.。
....。
.。
.。
..。
..。
.。
.。
.。
..1.1课设目的。
..。
.。
.。
.。
...。
.。
..。
..。
...。
.。
.。
.。
.....。
.。
..。
..。
..。
.。
.。
...。
.....。
...。
..。
.。
...。
.。
..。
.。
.........。
...。
...。
1.2课设要求 .。
...。
..。
.。
..。
.。
.。
.。
.....。
....。
..。
...。
..。
.。
...。
.。
..。
.。
..。
.。
.。
.。
.。
..。
.。
.。
.。
....。
...。
.。
...。
...1.3课设任务。
..。
..。
.。
....。
.。
.。
..。
.....。
.。
.。
.。
.。
..。
.。
.。
.。
.。
...。
..。
.。
.。
.。
.。
.。
.。
...。
.。
.。
...。
.。
.。
.。
.。
....。
.。
.。
1.4实验环境 .。
....。
.。
..。
.。
.。
.....。
...。
.。
.。
.。
.。
.。
..。
.。
.。
.。
...。
.。
.。
..。
.。
.。
..。
.。
.。
.。
.....。
..。
.。
..。
.。
.。
..。
.。
.。
.。
2基本方案设计 ..。
.。
..。
.。
....。
.。
.。
.。
...。
...。
.。
..。
.。
...。
..。
.。
.。
.。
.。
.....。
.........。
.。
..。
...。
..。
..。
...。
.。
.。
...。
....。
2.1设计需求。
...。
数字电路课程设计报告——三层电梯
数字电路课程设计报告——三层电梯控制器姓名:李世刚班级:计122—3学号:201258502314指导老师:王玲玲2012年12月23日目录一、设计内容 (1)1、简要说明 (2)2、任务和要求 (2)二、设计方案及总体功能 (2)三、各部分具体设计 (4)四、我的设想 (12)五、总结 (12)一、设计内容本次设计的是三层电梯控制器:1、简要说明:电梯控制器是控制电梯按顾客的要求自动上下的装置。
2、任务和要求:(1)每层电梯入口处设有上下请求开关,电梯内设有乘客到达层次的停战请求开关。
(2)设有电梯所处位置指示装置及电梯运行模式(上升或下降或等待)指示装置。
(3)电梯每秒升(降)一层楼。
(4)电梯到达有停站请求的楼层后,经一秒电梯楼门打开,开门指示灯亮,开门四秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停在当前层。
(5)能记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除(6)电梯运行规则一当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则相反。
(7)电梯初始状态为一层开门状态。
二、设计方案及总体功能本设计的总体框图如图1-1所示:图1-1 总体框图本控制器的功能模块主要包括控制器、状态显示器,译码器,楼层显示器等。
乘客在电梯中选择所要到达的楼层,通过控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器从而在楼层显示器中显示。
电梯控制器原理图三、各部分具体设计1、模块arc见图1-2。
该模块是整个控制器的核心。
其主要的的设计思想是将电梯的状态划分为四个:一层状态(c1),二层状态(c2),三层状态(c3)及开门状态(kai)。
对于每一个状态分析其所有的可能。
图1-3本模块的程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity arc isport( u1,u2,d2,d3,k1,k2,k3,clk:in std_logic;site:out std_logic_vector(3 downto 1);a1,a2,a3,mode,door,ur1,ur2,dr2,dr3:out std_logic);end arc;architecture behave of arc istype state_type is(c1,c2,c3,kai);beginprocess(clk)variable u,d,s,f:std_logic_vector(3 downto 1);variable m,a,b,n:std_logic;variable cnt1,cnt2:integer;variable state :state_type;beginif clk'event and clk='1' thenif u1='0' thenu(1):='1';ur1<='1';end if;if u2='0' thenu(2):='1';ur2<='1';end if;if d2='0' thend(2):='1';dr2<='1';end if;if d3='0' thend(3):='1';dr3<='1';end if;if k1='0' thens(1):='1';end if;if k2='0' thens(2):='1';end if;if k3='0' thens(3):='1';end if;if cnt1<1000 thencnt1:=cnt1+1;elsecase state iswhen c1 =>f:="001";m:='0';if u/="000" or d/="000" or s/="000" thena:='1';elsea:='0';end if;if n='0' thendoor<='1';elsedoor<='0';if s(1)='1' or u(1)='1' thenstate:=kai;s(1):='0';u(1):='0';elsif u(2)='1' or s(2)='1' thenstate:=c2;elsif d(3)='1'or s(3)='1' thenstate:=c2;b:='1';elsif d(2)='1' thenstate:=c2;m:='1';end if;end if;when c2=>f:="010";if m='0' thenif s(2)='1' or u(2)='1' thenstate:=kai;s(2):='0';u(2):='0';elsif d(3)='1'or s(3)='1' or b='1' thenstate:=c3;b:='0';elsif d(2)='1' or u(1)='1' or s(1)='1' thenm:='1';end if;end if;if m='1' thenif d(2)='1' or s(2)='1' thenstate:=kai;d(2):='0';s(2):='0';elsif u(1)='1' or s(1)='1' or n='1' thenstate:=c1;n:='0';elsif u(2)='1' or d(3)='1' or s(3)='1' thenm:='0';end if;end if;when c3=>m:='1';f:="100";if s(3)='1' or d(3)='1' thenstate:=kai;s(3):='0';d(3):='0';elsif d(2)='1' or s(2)='1' thenstate:=c2;elsif u(1)='1' or s(1)='1' thenstate:=c2;n:='1';elsif u(2)='1' thenstate:=c2;m:='0';end if;when kai=>door<='1';if cnt2<3 thencnt2:=cnt2+1;elsedoor<='0';cnt2:=0;if f="001" thenstate:=c1;elsif f="010" thenstate:=c2;elsif f="100" thenstate:=c3;end if;end if;end case;cnt1:=0;end if;end if;site<=f;a1<=s(1);a2<=s(2);a3<=s(3);mode<=m;end process;end behave;2、计数器模块cnbt,在时钟的驱动下,实现了对数码管的动态扫描及数据的选择。
数电课程设计--电梯控制电路
数电课程设计--电梯控制电路
电梯控制电路是一种能够应用于控制楼层和活动方式的电子电路,它可以连接梯状设
施和机械部件,从而使用户能舒适、安全、方便地改变楼层或移动。
控制电路包括一系列
输入部件,如按钮和断路器,它们可以输入信号,来控制电梯运行。
电梯控制电路原理一般是通过一系列电路模块来实现的,包括输入部件、逻辑控制电
路和输出部件。
输入部件将用户的操控信号输入到电路模块中,比如按钮、断路器等。
接着,逻辑控制电路根据信号进行分析处理,从而决定电梯运行方向和到达目标位置。
最后,由输出部件接收处理后的信号,调节电梯设备的运行,使电梯达到用户指定的楼层和位置。
电梯控制电路的实际应用中,一种常见的输入设备是按钮。
按钮可以指定电梯运行方向,以及用户想要到达的楼层和位置,而断路器则是连接电源的开关,同时也可以控制电
梯的运行状态。
此外,接口单元也是控制电路中不可缺少的部件,它不仅可用于连接各种
传感器和电机,还可对信号进行预处理和采样,从而实现安全性。
为了保证电梯的运行安全,控制电路通常需要连接继电器、接触器或控制台,来保证
电梯只能从合理的位置移动,且可以精确地控制电梯的每一步运行,最大限度地减少安全
隐患。
总的来说,电梯控制电路可以实现电梯的安全有效的操作,提高安全性和质量,为
客户提供更好的体验。
电梯控制数电课程设计
电梯控制数电课程设计一、课程目标知识目标:1. 让学生理解数字电路的基本原理,掌握电梯控制系统中数字电路的应用。
2. 使学生掌握电梯控制系统的基本组成,了解各部分功能及其相互关系。
3. 帮助学生掌握电梯运行过程中数字电路的控制逻辑。
技能目标:1. 培养学生运用所学知识分析和解决实际问题的能力,能设计简单的电梯控制数字电路。
2. 提高学生的动手操作能力,能正确搭建和调试电梯控制数字电路。
3. 培养学生团队协作和沟通能力,能在小组合作中发挥各自优势,共同完成项目任务。
情感态度价值观目标:1. 培养学生对数字电路和电梯控制技术的兴趣,激发他们探索未知、勇于创新的科学精神。
2. 增强学生的安全意识,使他们认识到电梯控制系统在实际应用中的重要性。
3. 培养学生具备良好的职业道德,关注社会发展,为我国电梯行业的发展贡献自己的力量。
课程性质:本课程为选修课程,结合理论知识与实践操作,培养学生的实际应用能力。
学生特点:学生具备一定的数字电路基础知识,对电梯控制技术有一定了解,喜欢动手实践,善于团队合作。
教学要求:注重理论与实践相结合,提高学生的实际操作能力,鼓励学生主动探索,培养创新意识。
在教学过程中,关注学生的个体差异,因材施教,使每位学生都能在原有基础上得到提高。
通过课程目标的实现,为学生后续学习电梯控制技术及相关领域知识打下坚实基础。
二、教学内容1. 数字电路基础:复习数字电路的基本概念、逻辑门电路、触发器等基础知识,为电梯控制系统数字电路的分析和设计打下基础。
教材章节:第1章 数字电路基础2. 电梯控制系统概述:介绍电梯控制系统的基本组成、工作原理和性能指标,使学生了解电梯控制系统的整体框架。
教材章节:第2章 电梯控制系统概述3. 电梯控制数字电路设计:讲解电梯控制中常用的数字电路,如定时器、计数器、译码器等,分析它们在电梯控制系统中的应用。
教材章节:第3章 电梯控制数字电路设计4. 电梯控制逻辑分析:通过对电梯运行过程中各种控制逻辑的分析,使学生掌握电梯控制系统的逻辑设计方法。
数电实验报告-简易三层电梯控制器
数字电路与逻辑设计实验简易电梯控制器实验报告电子工程学院2010211205班张阗目录一、功能设计 (3)1、电梯运行规则 (3)2、实验板硬件实现 (3)二、程序架构 (4)1、分频模块 (5)2、状态机模块 (5)3、寄存器模块 (6)4、外部显示模块 (6)三、程序代码 (7)四、结果仿真 (17)五、实验心得 (20)一、功能设计1、电梯运行规则电梯初始状态为一层开门状态。
电梯外部设有1层请求上升、2层请求下降、2层请求上升、3层请求下降按钮;内部设有1层到达、2层到达、3层到达、关门按钮。
可显示电梯当前所在楼层、外部请求楼层、内部请求楼层。
可现实上升或下降状态。
电梯每秒上升(下降)一层楼。
电梯到达需要停止的楼层,经过1秒电梯门打开,开门指示灯亮,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
电梯需要寄存器来记忆电梯内外所有请求,并按照电梯运行规则按顺序响应,每个请求信号保留至执行后消除。
当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号和停站请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;如果高层有下楼请求,则直接上升到有下楼请求的最高层,然后进入下降模式。
当电梯处于下降模式时则与上升模式相反。
2、实验板硬件实现(1)控制部分(输入):(2)显示部分(输出):二、程序架构图1 程序架构1、分频模块外部时钟频率为50M,通过分频模块后得到不同功能对应的频率,作为其他模块的时钟输入,包括:状态机模块中的电梯控制时钟、寄存器模块中的按键保存时钟、外部显示模块中的数码管扫描时钟和点阵扫描时钟。
2、状态机模块状态机模块包括十个状态,分别为:stopon1(位于1层),dooropen(开门),doorclose(关门),doorwait1(开门等待第1秒),doorwait2(开门等待第2秒),doorwait3(开门等待第3秒),doorwait4(开门等待第4秒),up(上升),down(下降),stop(停止)。
plc课设报告 四层电梯
一:课程设计的目的1、通过对工程实例的模拟,熟练地掌握PLC的编程和程序调试方法。
2、进一步熟悉PLC的I/O连接。
3、熟悉四层电梯的运行方式和编程方法。
二:实验软件与设备1、四层电梯实验教学模型一台2、计算机一台3、 PLC主机一台(CPU226、24 路数字量输入、16 路数字量输出)4、STEP_7-MicroWIN_V4_SP3编程软件5、s7-200仿真软件三:课程设计的要求1、系统应包含各楼层外呼按钮,其中:一层只有上呼按钮;四层只有下呼按钮;中间层(二、三层)具有上、下呼各两个按钮。
另外还要有四个内选按钮。
2、不论轿厢停在何处,系统均能根据召唤信号自动判断电梯运行方向,然后延时1s后开始运行;3、响应召唤后,召唤指示灯亮,直到电梯到达该层时熄灭;4、当有多个召唤信号时,能自动根据召唤楼层停靠层站,关门到位后继续上升或下降运行,直到所有信号响应完毕;5、电梯运行途中,任何反方向召唤均无效,且召唤指示灯不亮;6、开门控制:当电梯没有上下运行而且在平层位置时,外部呼叫信号可使电梯开门;当内呼或外呼指示灯灭时,则电梯开门。
开门到位后2秒自动关门;四:课程设计的主要内容1、设计步骤1、计算输入输出点,编写I/O对应表及内部元件使用表;2、编写PLC电梯基本控制程序;3、导出程序用仿真软件模拟运行调试;4、下载程序,模拟演示电梯工作过程;5、配合硬件模拟演示,调试修改程序,直至基本功能全部实现;6、整个系统运行检测与完善;2、电梯运行过程分析电梯的上、下行由一台电动机拖动,电动机正转为电梯上升,反转为下降。
一层有上升呼叫按钮和指示灯,二层有上升呼叫按钮和指示灯以及下降呼叫按钮和指示灯,三层有上升呼叫按钮和指示灯以及下降呼叫按钮和指示灯,四层有下降呼叫按钮和指示灯,每个楼层均由楼层限位开关检测控制;电梯开门和关门按钮,关门限位由行程开关检测。
1、开始时,电梯处于任意一层,接通电源,电梯回到初始楼层一楼。
数字电子技术-课程设计报告-电梯控制
题目名称:电梯控制院系:电气工程学院专业班级:电子工艺与管理学生姓名:徐小可学号: 41指导教师:孙艳完成时间:2012年11月30目录摘要 0一,设计目的及意义 (1)目的: (1)意义: (1)二,整体设计 (2)2.1 设计目标 (2)2.2 设计要求 (2)2.3 整体设计流程图 (3)三,电梯控制系统的实现 (3)3.1 实现功能 (3)3.2 实现电路 (4)3.2.1 楼层的输入电路 (4)3.2.2 555定时电路 (4)3.2.3楼层显示电路 (5)3.2.4四层电梯控制系统实现总电路 (6)3.3主要元器件介绍 (6)3.3.1 译码器 (6)3.3.2数码管 (7)3.3.3 D触发器 (8)四,实验总结 (9)4. 1 设计中主要出现的问题 (9)4.2 尚待解决的问题 (9)4. 3 心得体会 (10)摘要随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。
电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。
多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。
在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。
据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。
当今世界,电梯的使用量已成为衡量现代化程度的标志之一.设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。
电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。
以此来设计了一个四层电梯控制系统。
关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管;一,设计目的及意义本设计是利用已学过的数电知识,设计的四层电梯控制系统。
电梯控制器实验报告
电子技术实验报告——电梯控制器的设计与分析班级:姓名:学号:日期:2016年6月25日联系电话:一.实验目的电子技术专题实验是对“数字逻辑”课程内容的全面、系统的总结、巩固和提高的一项课程实践活动。
根据数字逻辑的特点,选择相应的题目,在老师的指导下,由学生独立完成。
目的是通过实验使学生掌握数字逻辑电路设计的基本方法和技巧,正确运用QuartusⅡ软件及实验室多功能学习机硬件平台,完成所选题目的设计任务,并掌握数字逻辑电路测试的基本方法,训练学生的动手能力和思维方法。
通过实验,一方面提高运用数字逻辑电路解决实际问题的能力,另一方面使学生更深入的理解所学知识,为以后的计算机硬件课程的学习奠定良好的基础。
二.项目设计概要1. 设计实现的目标随着社会的发展,电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过渡到在办公楼、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。
对于电梯的控制,传统的方法是使用继电器—接触器控制系统进行控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。
2. 整体设计概述电梯的微机化控制主要有以下几种形式:①PLC控制;②单板机控制;③单片机控制;④单微机控制;⑤多微机控制;⑥人工智能控制。
随着EDA技术的快速发展,CPLD/FPGA 已广泛应用于电子设计与控制的各个方面。
本设计就是使用一片CPLD/FPGA来实现对电梯的控制的3. 项目设计特点我们在项目设计过程中采用模块化设计思想,事先制定了模块间的接口方案,使得整个系统的组合变得十分灵活。
由于我们在设计时为电子钟和秒表模块中都加入了显示电路,总控模块可分别与之连接组成一个分系统,便于调试。
在最终整合时,我们也只需要将两个模块中的显示电路合二为一即可。
三.系统设计方案根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如图1所示:系统工作用 2 Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
!
题目名称:电梯控制
院系:电气工程学院
专业班级:电子工艺与管理
学生姓名:徐小可
学号: 41
指导教师:孙艳
完成时间:2012年11月30
目录
`
摘要 0
一,设计目的及意义 0
目的: 0
意义: (1)
二,整体设计 (1)
2.1 设计目标 (1)
设计要求 (2)
2.3 整体设计流程图 (2)
:
三,电梯控制系统的实现 (2)
3.1 实现功能 (2)
3.2 实现电路 (2)
楼层的输入电路 (3)
555定时电路 (3)
......................................... 错误!未定义书签。
......................................... 错误!未定义书签。
3.3主要元器件介绍 (3)。
译码器 (4)
(4)
D触发器 (5)
四,实验总结 (6)
4. 1 设计中主要出现的问题 (6)
4.2 尚待解决的问题 (6)
4. 3 心得体会 (6)
摘要
随着我国经济的高速发展,微电子技术、计算机技术数电技术也得到了迅速发展。
电梯是随着高层建筑的兴建而发展起来的一种垂直运输工具。
多层厂房和多层仓库需要有货梯;高层住宅需要有住宅梯;百货大楼和宾馆需要有客梯,自动扶梯等。
在现代社会,电梯已像汽车、轮船一样,成为人类不可缺少的交通运输工具。
据统计,美国每天乘电梯的人次多于乘载其它交通工具的人数。
当今世界,电梯的使用量已成为衡量现代化程度的标志之一.
设计利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。
电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来表示四层楼。
以此来设计了一个四层电梯控制系统。
关键字:D触发器74LS74;编码器74LS148;比较器74LS85;计数器74LS192;555定时器;数码管;
一,设计目的及意义
本设计是利用已学过的数电知识,设计的四层电梯控制系统。
目的:
(1)重温自己已学过的数电知识;
(2)掌握数字集成电路的设计方法和原理;
(3)熟悉555方波振荡器的应用,D触发器、编码器、比较器、计数器的应用、译码、显示电路的整体配合;
(4)通过完成该设计任务掌握实际问题的逻辑分析,学会对实际问题进行逻辑状态分配、化简;
(5)掌握数字电路各部分电路与总体电路的设计、调试、模拟仿真方法。
意义:
通过本次的课程设计,使自己能够清楚了解课程设计的过程和意义。
检验自己学过的知识。
使自己清楚自己到底学得怎么样是不是还有待提高的地方。
使自己掌握各种芯片的使用方法,提高自己利用图书资源和网络资源的能力。
二,整体设计
2.1 设计目标
1、系统控制的电梯往返于1 ~4 层楼。
2、乘客要去的楼层可以手动输入并显示(所在楼层的二极管亮)。
3、电梯运行的楼层可自动显示。
4、当A>B 时,系统能输出使电梯正向运行的时序信号,使电梯上升。
5、当A<B 时,系统能输出使电梯反向运行的时序信号,使电梯下降。
6、当A=B 时,系统停机信号,使电梯停止运行。
7、各层电梯门外应有使电梯上升或下降到乘客所在楼层的“呼叫”开关。
设计要求
1.根据设计原理初步画出实验电路图。
2.根据实验电路图在计算机上用Multisim仿真,找出初步设计电路的不足加以改正和改进。
3.根据改进实现后的电路图连接电路,实现设计。
2.3 整体设计流程图
三,电梯控制系统的实现
3.1 实现功能
用四个LED显示电梯行进过程,并用数码管显示电梯当前所在楼层的位置,每层电梯的入口处设有请求按钮,按下按钮,则相应楼层的LED亮。
电梯达到请求的楼层,该层的指示灯灭,电梯门打开;电梯自动关门,继续运行。
电梯运行中,运用比较器选择所到楼层的先后顺序。
3.2 实现电路
楼层的输入电路
利用四个D触发器实现楼层的输入功能,即实现所在楼层的指示灯显示。
图3-1
555定时电路
通过对电阻R
1、R
2
、电容C
1
的合适选择来对555进行不同时间的定
时。
本电路选择定时时间为5秒。
图3-2
本电路主要是利用十进制加减计数器74LS192,通过控制电路分配加1 或减1 信号给CPD 和CPU,实现对现行楼层号的加1 和减1,由数码管显示所到楼层。
图3-3
利用D触发器74LS74作为控制电梯按钮(即触发电平),经过编码器74LS148及比较器74LS85、计数器74LS192来实现其电梯控制功能。
本电路使用555的定时功能来控制上一层楼或下一层楼所用的时间,利用数码管来显示所到楼层数,并用四个发光二极管来作为四层楼的指示灯。
图3-4
3.3主要元器件介绍
译码器
译码器是一个多输入,多输出的组合逻辑电路.它的作用是把给定的代码进行"翻译",成相应的状态,使输出通道中相应的一路有信号输出。
译码器在数字系统中有广泛的用途,不仅用于代码的转换,终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。
不同的功能可选用不同种类的译码器。
CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码—七段码译码器,具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。
可直接驱动LED显示器。
用CD4511实现LED与接口方法如下图:
图3-5
数码管
图3-6
一个LED数码管可用来显示一位0~9十进制数和一个小数点.小型数码管寸和寸)每段发光二极管的正向压降,随显示光(通常为红,绿,黄,橙色)的颜色不同略有差别,通常约为2~,每个发光二极管的点亮电流在5~数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。
此设计的数码管采用共阴型数码管,主要用来显示楼层号。
D触发器
此电路D触发器采用内含两个独立的D上升沿双d触发器,每个触发器有数据输入(D )、置位输入()复位输入()、时钟输入(CP)和数据输出(Q )。
、的低电平使输出预置或清除,而与其它输入端的电平无关。
当、均无效(高电平式)时,符合建立时间要求的D 数据在CP上升沿作用下传送到输出端。
74ls74功能表:
输入输出
S D
R
D
C
P
D
Q
n+1
Q
n+1
01××10 10××01 00××φφ11↑110
图3-7 74ls74引脚图
四,实验总结
4. 1 设计中主要出现的问题
问题1:对各个集成芯片的了解与使用;
问题2:对理论与实践的结合。
4.2 尚待解决的问题
问题1:555 定时器的定时精度不够高,需要用更高精度的定时芯片。
4. 3 心得体会
经过这一次的课程设计,我对数字电子这门课程及相关知识有了更深入的理解和体会,同时也很好的把握理论知识并将其应用于实践当中。
在此次的电梯控制器设计过程中,我更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。
也锻炼了自己独立思考
问题的能力和通过查看相关资料来解决问题的习惯。
虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。
设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。
至于设计的成绩无须看的太过于重要,而是设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。
各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。
同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。
另外,我们设计要从市场需求出发,既要有强大的功能,又要在价格方面比同等档次的便宜。
虽然我们现在作的不可能到市场上去销售,但我们要为以后作设计培养出好的习惯。
这一次的课程设计,让自己清楚的知道了学以致用的真正含意。
我们学生必须要有实践的能力,而这一次的课程设计,使我看到了自己在这个方面有很大的不足,必须加以提高。
&。