简单数字秒表设计课设

合集下载

数字电子技术课程设计-数字秒表

数字电子技术课程设计-数字秒表

数字电子技术课程设计报告课程设计(大作业)报告课程名称:数字电子技术设计题目:数字秒表院系:信息技术学院班级:设计者:学号:指导教师:**设计时间:2015.12.14--2015.12. 18 信息技术学院昆明学院课程设计(大作业)任务书目录一、设计目的 (1)二、设计要求和设计指标 (1)三、设计内容 (1)3.1电子秒表工作原理 (1)3.1.1总体设计 (2)3.1.2 脉冲电路设计 (2)3.1.3总清零控制电路 (6)3.1.4时间计数单元 (6)3.1.5分频电路 (8)3.1.6码驱动及显示单元 (9)3.1.7多功能数字秒表电路的组合 (10)3.2仿真结果与分析 (12)3.2.1 时钟发生器的测试 (12)3.2.2 计数、译码、显示单元的测试 (13)3.2.3 整体测试 (13)3.2.4 电子秒表准确度的测试 (14)四、本设计改进建议 (14)五、总结 (15)六、主要参考文献 (16)一、设计目的1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示器等单元电路的综合应用。

2、学习电子秒表的调试方法。

3、秒表由五位七段LED显示器显示,其中一位显示“min”,四位显示“s”,其中显示分辨率为0.01s,计时范围为0~9分59秒99毫秒;具有清零、启动计时、暂停计时及继续计时等功能;控制开关为两个;启动(继续)/暂停计时开关和复位开关。

二、设计要求和设计指标制作一个数字秒表,将单个数字秒表组合设计成可以同时对多人进行计时的多人数字秒表。

电子秒表的工作原理就是不断输出连续脉冲给加法计数器,而加法计数器通过译码器来显示它所记忆的脉冲周期个数。

1.时钟发生器:利用石英震荡555定时器构成的多谐振荡器做时钟源,产生脉冲。

2.记数器:对时钟信号进行记数并进位,百分之一秒和十分之一秒以及个位秒之间10进制,十位秒为六进制; 本设计采用可预置的十进制同步加法计数器74LS90构成电子秒表的计数单元。

西课程设计数字秒表

西课程设计数字秒表

西课程设计数字秒表一、课程目标知识目标:1. 学生能理解数字秒表的基本概念,掌握其计时原理。

2. 学生能运用数学知识,解读数字秒表上的时间显示,进行简单的时间计算。

3. 学生了解数字秒表在日常生活和科学实验中的应用。

技能目标:1. 学生能熟练操作数字秒表,进行准确计时。

2. 学生能通过数字秒表进行简单的数据收集和分析,提高实验技能。

3. 学生能运用所学的数字秒表知识,解决实际问题。

情感态度价值观目标:1. 培养学生对科学实验的兴趣,激发探索精神。

2. 培养学生严谨、细致的科学态度,提高合作意识。

3. 增强学生对时间观念的认识,培养珍惜时间的价值观。

课程性质:本课程为科学实验课,结合数学知识,旨在让学生通过实际操作,掌握数字秒表的使用方法,提高实验技能。

学生特点:六年级学生具备一定的数学基础,对新事物充满好奇,有较强的动手能力,但需引导培养严谨的科学态度。

教学要求:结合学生特点,注重实践操作,强调知识的应用,提高学生的实验技能和解决实际问题的能力。

在教学过程中,关注学生的情感态度,培养合作精神。

通过本课程的学习,使学生达到课程目标所设定的具体学习成果。

二、教学内容1. 数字秒表的基本概念与功能- 介绍数字秒表的组成、工作原理及特点- 学习数字秒表的计时功能,包括启动、停止、计次、分段计时等2. 数字秒表的操作与实践- 指导学生掌握数字秒表的操作方法- 安排实际操作练习,让学生熟练使用数字秒表进行计时3. 时间计算与分析- 结合数学知识,学习数字秒表上的时间显示解读- 进行简单的时间计算,如加减乘除、平均速度等4. 数字秒表在实际应用中的使用- 分析数字秒表在日常生活和科学实验中的应用案例- 设计实践活动,让学生运用数字秒表解决实际问题5. 教学内容的安排与进度- 第一课时:数字秒表的基本概念与功能- 第二课时:数字秒表的操作与实践- 第三课时:时间计算与分析- 第四课时:数字秒表在实际应用中的使用本教学内容依据课程目标,注重科学性和系统性,结合课本章节,安排合理的教学进度。

单片机课程设计_基于单片机的数字秒表设计

单片机课程设计_基于单片机的数字秒表设计

单片机课程设计_基于单片机的数字秒表设计在当今科技迅速发展的时代,电子设备的应用无处不在,其中数字秒表作为一种常见的计时工具,具有广泛的应用场景,如体育比赛、科学实验、工业生产等。

本次课程设计旨在基于单片机技术实现一个数字秒表,通过对硬件电路的设计和软件程序的编写,掌握单片机系统的开发流程和方法,提高实践动手能力和解决问题的能力。

一、设计要求1、能够实现秒表的启动、暂停、复位功能。

2、计时精度达到 001 秒。

3、能够通过数码管显示计时结果。

二、系统方案设计1、硬件设计单片机选型:选用常见的 STC89C52 单片机作为核心控制器,其具有性能稳定、价格低廉、易于编程等优点。

显示模块:采用 8 位共阴极数码管作为显示器件,通过动态扫描的方式实现数字的显示。

按键模块:设置三个独立按键,分别用于启动、暂停和复位操作。

时钟模块:使用单片机内部的定时器/计数器产生精确的时钟信号,实现计时功能。

2、软件设计主程序:负责系统的初始化、按键扫描和计时处理等。

中断服务程序:利用定时器中断实现 001 秒的定时,更新计时数据。

三、硬件电路设计1、单片机最小系统包括单片机芯片、晶振电路和复位电路。

晶振频率选择 12MHz,为单片机提供时钟信号。

复位电路采用上电复位和手动复位相结合的方式,确保系统能够可靠复位。

2、显示电路将 8 位数码管的段选引脚通过限流电阻连接到单片机的 P0 口,位选引脚通过三极管连接到单片机的 P2 口。

通过动态扫描的方式,依次点亮每个数码管,实现数字的显示。

3、按键电路三个按键分别连接到单片机的 P10、P11 和 P12 引脚,采用低电平有效。

当按键按下时,相应引脚的电平被拉低,单片机通过检测引脚电平的变化来判断按键的操作。

四、软件程序设计1、主程序流程系统初始化后,进入主循环。

在主循环中,不断扫描按键状态,如果检测到启动按键按下,则启动计时;如果检测到暂停按键按下,则暂停计时;如果检测到复位按键按下,则将计时数据清零。

基于EDA的数字秒表课程设计

基于EDA的数字秒表课程设计

基于EDA的数字秒表课程设计一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握数字秒表的基本原理;2. 学生能描述数字秒表的电路结构,了解各个部分的功能和相互关系;3. 学生能掌握数字秒表设计中所涉及的数字逻辑,如计时、清零、启动/停止等功能的实现。

技能目标:1. 学生能够运用所学知识,使用EDA工具进行数字秒表的电路设计和仿真;2. 学生能够分析并解决数字秒表设计过程中遇到的问题,提高实际操作能力;3. 学生能够通过小组合作,完成数字秒表的调试与优化,提高团队协作能力。

情感态度价值观目标:1. 学生通过本课程的学习,培养对电子设计的兴趣和热情,提高探究精神;2. 学生能够认识到科技发展对日常生活的影响,增强社会责任感和创新意识;3. 学生在小组合作中学会尊重他人意见,培养良好的沟通能力和团队精神。

分析课程性质、学生特点和教学要求,本课程目标将分解为以下具体学习成果:1. 学生能够独立完成数字秒表的电路设计和仿真;2. 学生能够通过小组合作,完成数字秒表的调试与优化,并撰写实验报告;3. 学生能够对课程中所学知识进行总结,以口头或书面的形式进行分享。

二、教学内容本课程教学内容依据课程目标,紧密结合教材,制定以下详细教学大纲:1. 数字电路基础知识回顾- 复习数字逻辑基础,强调触发器、计数器等基本组件的工作原理。

2. EDA工具介绍- 介绍EDA软件的使用方法,如Multisim、Proteus等。

3. 数字秒表的原理与设计- 讲解数字秒表的电路结构,分析各部分功能;- 引导学生理解秒表的计时原理,探讨如何实现启动、停止、清零等功能。

4. 电路设计与仿真- 指导学生使用EDA工具进行数字秒表的电路设计;- 教学过程中,针对设计过程中可能遇到的问题进行讲解和指导。

5. 小组合作调试与优化- 学生分组进行电路调试,优化设计;- 引导学生学会分析问题、解决问题,提高实际操作能力。

数字秒表课程设计

数字秒表课程设计
元件明细表
………………….………………………………..………………..……..……………………………………20
鸣谢…………………….…………………………………………………..…………………………………………………….21
收获和体..…………………………………………………………………………………………………………………….21
555定时器的功能
555定时器组成及工作原理如下:
图2-1-5 555定时器电路结构图
如图2-1-5是555定时器电路结构的简化原理图和引脚标识。由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)
本次实验所做数字式秒表由信号发生系统和计时系统构成。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 –160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由7447构成,为4-7译码。显示器由数码管构成。具体过程为:由晶体震荡器产生100HZ脉冲信号,传入计数ห้องสมุดไป่ตู้统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“99时“分”、“秒”、”依次在数码管显示出来。该秒表最大计时值为99时59分59秒9/10,“分”和“99时”为一百进制计数器组成,“秒”为六十进制计数器组成。
由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2<Ucc/3时,比较器C1、C2分别输出高电平和低电平,即R=1, S=0,使基本RS触发器置1,放电三极管截止,输出Uo=1。

数字逻辑课程设计_秒表

数字逻辑课程设计_秒表

数字逻辑课程设计_秒表一、教学目标本课程旨在让学生掌握秒表的基本原理和使用方法,培养学生的数字逻辑思维和实际操作能力。

具体目标如下:1.知识目标:学生能够理解秒表的工作原理,包括时间计算、计数器等基本概念。

2.技能目标:学生能够熟练使用秒表进行时间测量和计数,并能进行简单的故障排查和维修。

3.情感态度价值观目标:通过学习秒表,培养学生对科学技术的兴趣和好奇心,提高学生的问题解决能力和团队合作意识。

二、教学内容本课程的教学内容主要包括以下几个部分:1.秒表的基本原理:介绍秒表的工作原理,包括时间计算、计数器等基本概念。

2.秒表的使用方法:教授学生如何正确使用秒表进行时间测量和计数,包括操作步骤和注意事项。

3.秒表的故障排查和维修:培养学生对秒表故障的识别和解决能力,包括常见故障的原因和维修方法。

三、教学方法为了提高学生的学习兴趣和主动性,本课程将采用多种教学方法:1.讲授法:教师通过讲解秒表的基本原理和使用方法,让学生掌握相关知识。

2.讨论法:学生分组讨论秒表的使用心得和故障解决经验,促进学生之间的交流和合作。

3.案例分析法:教师提供一些实际的案例,让学生分析并解决秒表的使用问题,培养学生的实际操作能力。

4.实验法:学生在实验室进行秒表的操作和实践,加深对秒表的理解和掌握。

四、教学资源为了支持教学内容和教学方法的实施,丰富学生的学习体验,我们将准备以下教学资源:1.教材:选择合适的秒表教材,为学生提供系统的学习资料。

2.参考书:提供一些相关的参考书籍,供学生进一步深入学习。

3.多媒体资料:制作一些教学视频和演示文稿,帮助学生更好地理解秒表的工作原理和使用方法。

4.实验设备:准备一些秒表和相关实验设备,让学生进行实际操作和实验。

五、教学评估为了全面、客观、公正地评估学生的学习成果,本课程将采用以下评估方式:1.平时表现:通过观察学生在课堂上的参与程度、提问回答、小组讨论等表现,评估其学习态度和理解能力。

多人数字秒表课程设计

多人数字秒表课程设计

课程设计任务书学生姓名:郭南彬专业班级:电信0905班指导教师:黄晓放工作单位:信息工程学院题目: 多人数字秒表的设计仿真与制作初始条件:利用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等器件设计实现数字秒表的功能。

用数码管显示时间计数值。

(也可以用单片机系统实现)要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周内完成对数字秒表的设计、仿真、装配与调试。

2、技术要求:①设计一个能测量8名运动员短跑成绩的数字秒表。

要求用四位数码管显示时间,格式为00:00s。

②秒表设置9个开关输入(清零开关1个和记录开关8个)。

按下“记录”开关,则将当前计数时间暂存并显示在数码管上。

③确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。

参考文献:[1] 伍时和.《数字电子技术基础(第1版)》.北京:清华大学出版社,2009年4月[2] 康华光.《数字电子技术基础(第5版)》.北京:高等教育出版社,2006年1月[3] 王建校.《51系列单片机及C51程序设计》.北京:科学出版社,2002.4.时间安排:1、2011 年6 月27~28 日,查阅相关资料,学习设计原理。

2、2011 年6 月28~29 日,方案选择和电路设计仿真。

3、2011 年6 月30~7月1 日,电路调试和设计说明书撰写。

4、2011 年7 月 2 日上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日系主任(或责任教师)签名:年月日目录1Proteus简介 (1)1.1 概述 (1)1.2 具有四大功能模块 (1)1.2.1 智能原理图设计(ISIS) (1)1.2.2 完善的电路仿真功能(Prospice) (2)1.2.3 独特的单片机协同仿真功能(VSM) (2)1.2.4 实用的PCB设计平台 (3)1.3 Proteus提供丰富的功能模块 (3)1.3.1 Proteus可提供的仿真元器件资源 (3)1.3.2 Proteus可提供的仿真仪表资源 (3)1.3.3 其他功能 (3)2数字秒表的课题的研究背景及概述 (4)3设计原理、要求及技术指标分析 (5)3.1 初始条件 (5)3.2 设计目的 (5)3.3 设计任务及主要技术指标 (5)3.4原理分析及设计思想 (5)4课题设计与分析 (6)5秒表电路单元的模块设计 (7)5.1 单片机主控模块的设计 (7)5. 2 数码管显示模块的设计 (8)5. 3 键盘扫描模块的设计 (9)5.4 LED指示模块和蜂鸣器提示模块的设计 (9)5.5仿真结果显示 (10)5.6仿真总体电路图 (11)6软件设计 (12)6.1 程序设计思想 (12)6.2 主程序设计 (12)7 元件清单 (14)8课程设计总结 (15)9心得体会 (16)10参考文献 (17)1Proteus简介1.1 概述Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。

EDA课程设计--数字秒表设计

EDA课程设计--数字秒表设计

电子课程设计—数字秒表的设计数字秒表的设计数字秒表的设计一、设计任务与要求1、数字秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。

秒。

2 2、数字秒表的计时精度是、数字秒表的计时精度是10ms 10ms。

3 3、、复位开关可以在任何情况下使用,复位开关可以在任何情况下使用,即便在计时过程中,即便在计时过程中,即便在计时过程中,只要按一下复位只要按一下复位开关,计时器就清零,并做好下次计时的准备。

开关,计时器就清零,并做好下次计时的准备。

4 4、、具有启具有启//停开关,停开关,即按一下启即按一下启即按一下启//停开关,停开关,启动计时器开始计时,启动计时器开始计时,启动计时器开始计时,再按一下再按一下启/停开关则停止计时。

停开关则停止计时。

二、总体框图由频率信号输出端输出频率为100HZ 的时钟信号,输入到微妙模块的时钟端clk ,高/低电平电平频率信号输入输入微妙模块微妙模块秒模块秒模块分模块分模块置数/位选位选显示模块显示模块进位进位微妙模块为100进制的计数器,产生的进位信号co 输入到下一级秒模块的时钟端,以此类推,直到分模块计数到59进60时,产生的进位信号不输出,计数清零。

将微妙、秒、分产生的计数通过置数/位选再通过显示模块实时显示。

设计方案:利用一块芯片完成除时钟源,利用一块芯片完成除时钟源,按键和显示器之外的所有数字电路功按键和显示器之外的所有数字电路功能。

所有数字逻辑功能都在CPLD 器件上用VHDL 语言实现。

这样设计具有体积小,设计周期短,调试方便,故障率地和修改升级容易等特点,本设计采用自顶向下,混合输入方式(原理图输入——顶层文件链接和VHDL 语言输入——各模块程序设计)实现数字秒表的设计,下载和调试。

三、功能模块1.1. 微秒模块微秒模块采用VHDL 语言输入方式,以时钟clk clk,清零信号,清零信号clr 以及暂停信号STOP 为进程敏感变量,程序如下:为进程敏感变量,程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity MINSECONDb isport(clk,clrm,stop:in std_logic;----时钟时钟//清零信号清零信号secm1,secm0:out std_logic_vector(3 downto 0);----秒高位秒高位//低位co:out std_logic);------- co:out std_logic);-------输出输出输出//进位信号进位信号 end MINSECONDb;architecture SEC of MINSECONDb is signal clk1,DOUT2:std_logic;beginprocess(clk,clrm)variable cnt1,cnt0:std_logic_vector(3 downto 0);---计数计数 VARIABLE COUNT2 :INTEGER RANGE 0 TO 10 beginIF CLK'EVENT AND CLK='1'THENIF COUNT2>=0 AND COUNT2<10 THEN COUNT2:=COUNT2+1; ELSE COUNT2:=0;DOUT2<= NOT DOUT2; END IF; END IF;if clrm='1' then----if clrm='1' then----当当clr 为1时,高低位均为0cnt1:="0000"; cnt0:="0000";elsif clk'event and clk='1' then if stop='1' then cnt0:=cnt0; cnt1:=cnt1; end if;if cnt1="1001" and cnt0="1000" ;then----then----当记数为当记数为9898(实际是经过(实际是经过59个记时脉冲)个记时脉冲) co<='1';----co<='1';----进位进位进位cnt0:="1001";----cnt0:="1001";----低位为低位为9elsif cnt0<"1001" then----elsif cnt0<"1001" then----小于小于9时 cnt0:=cnt0+1;----cnt0:=cnt0+1;----计数计数计数 --elsif cnt0="1001" then --clk1<=not clk1;elsecnt0:="0000";if cnt1<"1001" then----if cnt1<"1001" then----高位小于高位小于9时 cnt1:=cnt1+1; elsecnt1:="0000"; co<='0'; end if; end if; end if;secm1<=cnt1; secm0<=cnt0;end process; end SEC;程序生成器件如图:clk clrm stopsecm1[3..0]secm0[3..0]coMINSECONDbinst3微妙模块生成的器件可以实现带有100进制进位和清零功能,暂停等功能,MINSECONDb 输入为100HZ 脉冲和低电平的清零信号CLR 与暂停信号STOP STOP,输出,输出微妙个位、十位及进位信号CO CO。

数字秒表课程设计及仿真

数字秒表课程设计及仿真

数字秒表课程设计及仿真一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的工作机制。

2. 学生能描述数字秒表电路的组成,包括时钟电路、触发器、计数器等关键元件。

3. 学生能够运用所学知识,分析并解释数字秒表中时间测量的精度和误差来源。

技能目标:1. 学生能够运用仿真软件设计并搭建一个简单的数字秒表电路模型。

2. 学生通过实际操作,学会设置数字秒表,进行时间的测量和记录,掌握基本的时间计算方法。

3. 学生能够利用仿真工具对数字秒表电路进行调试,解决简单的故障问题。

情感态度价值观目标:1. 学生通过课程学习,培养对电子科技的兴趣,增强对科学探究的热情。

2. 学生能够在小组合作中发展团队协作精神,学会相互尊重和交流分享。

3. 学生通过实际操作和问题解决,培养面对挑战的积极态度和解决实际问题的自信心。

课程性质:本课程属于电子技术实践课程,结合理论教学与实际操作,强调知识的应用与创新。

学生特点:考虑到学生年级特点,课程设计将结合学生的好奇心和动手能力,通过形象直观的仿真实验,激发学生的学习兴趣。

教学要求:教学过程中应注重理论与实践相结合,强调知识的应用和技能的培养,通过课程学习,使学生能将所学知识内化为解决实际问题的能力。

教学评估将基于学生在课程中的具体学习成果进行。

二、教学内容本课程教学内容主要包括以下几部分:1. 数字秒表基本原理:介绍数字秒表的计时原理,分析时钟电路、触发器、计数器等关键元件的工作原理。

2. 数字秒表电路组成:详细讲解数字秒表的电路结构,包括时钟电路、控制电路、显示电路等组成部分。

3. 仿真软件应用:教授学生如何使用仿真软件,搭建数字秒表电路模型,并进行调试。

4. 实践操作:指导学生进行数字秒表的设置、时间测量和记录,以及基本的时间计算方法。

5. 故障分析与解决:教授学生如何分析数字秒表电路中的常见故障,并运用所学知识解决问题。

教学内容安排如下:第一课时:数字秒表基本原理及电路组成1. 介绍计时原理和关键元件2. 分析电路结构及工作原理第二课时:仿真软件应用与实践操作1. 搭建数字秒表电路模型2. 进行仿真调试和实际操作第三课时:故障分析与解决1. 分析常见故障及其原因2. 解决实际问题,提高操作技能教学内容与教材关联性:本课程内容紧密联系教材中关于数字电路、计时器等方面的知识,确保学生所学内容的科学性和系统性。

数字秒表设计报告

数字秒表设计报告

吉林建筑工程学院电气与电子信息工程学院微机原理课程设计报告设计题目:数字秒表的设计专业班级:学生姓名:学号:指导教师:设计时间:数字秒表设计报告一、课程设计目的通过该设计,掌握8255并行接口芯片、8253定时计数芯片的使用和数码管的使用,并掌握相应的程序设计和电路设计的技能。

是对8255并行接口芯片章节理论学习的总结和补充,为后续的硬件课程的学习打下基础。

二、课程设计的内容及要求利用8253计数器2和计数器1,实现1Hz信号的产生,然后计数器采用硬件触发选通方式计数,CPU读取计数结果,并转换为读秒计数,并把读秒计数的结果用数码管显示出来(2位)。

三、总体设计方案设计一个利用微机原理与接口技术完成秒表的设计方案, 该方案主要是选择8253A的计数器2与计数器1产生一个1Hz的中断脉冲,其输出端与不可屏蔽中断请求信号端相连接。

利用1.19318MHz脉冲方波输入CLK2,设置CLK2的初值为59659,将CLK2的输出端连接到CLK1,设置CLK1的初值为20,将OUT1连接到8086CPU 的NMI端。

将NMI端有一个低电平信号输入时,8086CPU将产生中断进行秒计数。

8086通过8255A将PA口作为段选信号输出端,将PB口作为片选信号输出端。

图3.1 方案设计框图此方案的核心内容是利用微机原理与接口技术完成秒表的设计方案,该方案主要是选择8253A的计数器2和计数器1进行1s的定时,其输出于OUT1与8086的NMI相连,当定时到1s的时候产生一个中断信号,在中断服务程序进行秒的计数,并送入相应的存储单元;8255的A口接七段数码管的段选信号,B口接七段数码管的位选信号,秒的数值通过对8255的编程可以显示在七段数码管上面。

该方案是利用微机接口技术的典范案例,就可行性而言,也是行之有效的。

四、硬件系统设计8086简介Intel 8086拥有四个16位的通用寄存器,也能够当作八个8位寄存器来存取,以及四个16位索引寄存器(包含了堆栈指标)。

数字电路课程设计数字秒表

数字电路课程设计数字秒表

2.5冲不可以通过与门,计数电路就会停止,实现暂停 功能,当S1闭合时,恢复计数;当S1闭合,S2断开时,R0(1) R0(2)都 接低电平,实现计数功能。当S1闭合,S2闭合时,R0(1) R0(2)都接高 电平,实现清零功能。将S1和S2想与是为了实现当电路处于暂停状态时 不能使用清零功能。
2. 设计方案
2.1实验设计原理:
汽车尾灯控制器由时钟发生电路、分频电路、译码电路、开关驱动 电路及终端显示电路五部分组成。本实验设计时钟脉冲源采用电路板上 的1000HZ脉冲,74ls90具有分频功能, 分频电路由三片74ls90芯片组成,最终分频为1HZ。74ls738芯片及四个 与门组成译码电路, 74ls138芯片A0、A2输入端接入输入脉冲,A2端接低电平输出端, Y0、 Y1 、Y4、Y5分别与四个与门与LED灯相接。开关驱动电路由与门、或 门、非门、与非门等门电路连接而成。
11 0 X 11 X 0 X X 11
X 0 X0 0 X 0X 0 X X0 X 0 0X
Q3 Q2 Q1 Q0
0 0 00 0 0 00 1 0 01
计数
表2 当R0(1) R0(2)都接高电平时,实现清零功能。当R0(1) R0(2)都接低 电平时,实现计数功能。故将4个十进制计数器的R0(1) R0(2)相连, 由开关S2控制,实现计数和清零功能。
(3)夜间行车电路控制图
逻辑开关S3接高电位、S4接低电位时
D5、D6灯同时闪烁,表示夜间停车
逻辑开关S3、S4同时接高电位时D5、D6 灯长亮,表示夜间行车。
3. 工作总结及心得体会
实验中电路接线仍然是一个很麻烦的过程,由于这个实验门电路较多,所 以一不小心就会接错线路,或者忘记接了哪个端口。理论上成熟之后,实际操 作是一个很重要的过程。

数字式秒表的课程设计

数字式秒表的课程设计

《电子技术》课程设计报告题目数字式秒表学院(部)电控学院专业自动化班级32010701学生姓名罗天美学号3712 月14 日至12 月24 日共2 周指导教师(签字)肖梅前言本课程设计是进一步的数电学习,是数电知识在实际生活当中的主要应用之一,我们本着学以致用的原则,对学过的知识进行进一步的深化理解,以达到最终掌握的目的。

因此本课程设计通过对所学电路的比较分析,选择最优方案,通过这个应用实例,我们在掌握有关知识的过程当中,即可以提高学习兴趣,又可以对数字集成电路器件的使用形成一个完整的概念。

本课设中的各项模块,没有不以仿真软件的结果为实际支撑,这样做的目的可以锻炼我们的动手能力。

在本次课程设计当中,我们通过上网查询我们这个题目的有关资料之外,还通过在图书馆找寻相关书籍,以及我们数电课本和数电实验指导书等众多途径获得相关资料。

首先,秒表的分辨率为0.01秒,故要获得频率为100 HZ的基准毫秒脉冲;其次,分、毫秒计数器为100进制计数器,秒计数器为60进制计数器,还要通过译码器对计数器的输出进行译码,再通过七段数码管进行最后的时间显示。

最后,用一个控制键实现秒表的启动/暂停/继续计数功能,用另一个控制健实现秒表的清零功能。

分别实现以上模块功能,即可设计出符合要求的数字秒表。

在秒表的设计过程中,杨莎莎,李勇霞,罗天美为一组,罗天美负责获取基准脉冲的电路的设计,杨莎莎负责控制电路选择这个模块功能实现的设计,李勇霞同学负责计数□译码□显示单元的设计,而设计的整体框图和最后的总图连接由我们三人共同讨论决定。

最终,经过我们三人的共同努力,实现了要求的功能的逻辑设计,尽管,在最终的仿真阶段还有一些问题未能解决。

在此过程当中,因为我们知识有限,不免会有错误出现,还望老师批评指正。

目录摘要 (5)第一章系统概述 (6)1.1 数字式秒表的设计意义 (6)1.2 数字式秒表的设计要求与分析 (6)1.3 设计方案论证 (6)1.4 总体设计方案框图及分析 (6)第二章单元电路的设计与分析 (7)2.1 基准脉冲的获取 (7)2.2 控制电路的选择 (12)2.3 计数、译码、显示单元的设计 (14)第三章整体电路图 (19)3.1 总体电路图 (19)第四章体会与收获 (19)4.1 遇到的问题及解决方案 (19)4.2 心得体会 (20)参考文献 (21)元器件明细表 (21)鸣谢 (21)附图 (22)摘要本次的设计任务是一个数字秒表,而秒表与普通的钟表不同,它的目的是对从某一时刻到另一时刻的时间间隔进行计时。

秒表计时器数字逻辑课程设计

秒表计时器数字逻辑课程设计

秒表计时器数字逻辑课程设计
一、设计目的
通过设计并制作一个秒表计时器,使学生掌握数字逻辑电路的基本原理,熟悉常见数字逻辑门电路的特性和应用,培养实际动手能力和解决问题的能力。

二、设计任务
设计一个具有启动、停止和重置功能的秒表计时器;
使用数字逻辑门电路实现计时器的控制逻辑;
设计一个显示电路,用于显示计时器的计数值;
编写控制程序,实现计时器的自动计时、停止和重置功能。

三、设计步骤
确定计时器的功能需求,设计控制逻辑电路;
选择合适的数字逻辑门电路,如与门、或门、非门等;
设计显示电路,选择合适的显示器,如LED数码管;
编写控制程序,实现计时器的自动计时、停止和重置功能;
搭建实验电路,测试计时器的功能是否符合设计要求;
优化和完善设计,确保计时器稳定可靠地工作。

四、设计要求
设计过程中要充分考虑实际应用的需求,注重实用性和可靠性;
设计过程中要注重电路的优化和简化,降低成本和功耗;
编写程序时要注重代码的可读性和可维护性,遵循良好的编程规范。

五、总结
通过本次课程设计,学生可以深入了解数字逻辑电路的基本原理和应用,掌握常见数字逻辑门电路的特性和应用,提高实际动手能力和解决问题的能力。

同时,学生还可以学习到如何根据实际需求进行电路设计和程序编写,为后续的学习和工作打下坚实的基础。

电子技术课程设计---秒表数码显示电路数字秒表电路设计

电子技术课程设计---秒表数码显示电路数字秒表电路设计

电子技术课程设计---秒表数码显示电路数字秒表电路设计一,课题名称秒表数码显示电路数字秒表电路设计二,设计要求1. 设计1MHz时钟:2.完成0~59小时59分59秒范围内的计时:3.通过按键设置计时起点与终点,计时精度为10ms:4. 计时暂停、恢复和清零功能;5. 计时时间报警功能;三,比较和选定设计的系统方案,画出系统框图1.1,课题分析与方案确定本题要求计数至59时59分59秒,所以要用到六个数码管。

计数精度为10ms,及计数分辨率为0.01秒,所以需要相应的信号发生器。

暂停功能和清零功能通过开关进行控制。

最终方案是使用六个74LS160,六个数码管,以及两个VCC来进行设计,总体采用同步预置法。

1.2,总体设计方案及系统框图数字式秒表,必须有数字显示。

按设计要求,必须用数码管来做显示器。

题目要求59时59分59秒,则需要六个数码管。

要求计数分辨率为0.01秒,则需要相应频率的信号发生器。

总体上,采用六个74LS160计数器。

使用同步预置法,实现59时59分59秒的计时。

将两个74LS160组合,并通过同步预置法实现六十进制,六个计数器分成三组,分别对应时、分、秒的功能。

四,单元电路设计、参数计算和器件选择1.1,各个模块单元设计74LS160 芯片同步十进制计数器(直接清零)作用:1、用于快速计数的内部超前进位.2、用于n 位级联的进位输出.3、同步可编程序.4、有置数控制线.5、二极管箝位输入.6、直接清零.7、同步计数.74LS160的功能真值表。

功能表:图20引脚图:图21逻辑符号及其引脚功能图:图2274ls160中的ls代表为低功耗肖特基型芯片。

74160为标准型芯片。

结构功能一样。

2、160为可预置的十进制计数器,共有54/74160 和54/74LS160 两种线路结构型式,其主要电器特性的典型值如表3-1(不同厂家具体值有差别): 异步清零端/MR1 为低电平时,不管时钟端CP信号状态如何,都可以完成清零功能。

课程设计任务书-数字秒表的设计

课程设计任务书-数字秒表的设计

一、设计任务1、设计题目:数字秒表的设计设计要求:(1)5V电源供电。

(2)数码管显示时间范围为:0~59秒。

2、(3)可自动复位和手动复位,能实现手动调整时间。

二、设计方案:1、方框图:功能说明:此电路是由8421码十进制计数电路,译码电路,数码显示电路,自动脉冲产生电路与门电路,手动复位电路,手动脉冲产生电路和电源电路八部分组成。

数字秒表电路是利用8421码十进制计数电路的计数功能,同时利用译码驱动电路,驱动数码显示电路发光显示数字。

其中,自动脉冲产生电路同与门电路组合可以实现0~59的循环计数,手动脉冲产生电路同与门电路组合可以选择0~59的任意数值,手动复位电路实现手动清零。

三、电路设计与分析1、8421码十进制计数电路和与门电路,自动脉冲产生电路,手动脉冲产生电路,自动脉冲产生电路及手动复位电路。

A、8421码十进制计数电路采用集成异步十进制计数器74LS90。

74LS90是二一五一十进制计数器,将输入时钟脉冲CLK接于CLK0端,并将CLK1端与Q0端相连,便构成8421码集成异步十进制计数器。

74LS90的逻辑图如下:二一五一十进制计数器74LS90逻辑图集成异步十进制计数器74LS90的电路图如下:74LS90的功能表如下:数学分析:(1)列出逻辑方程组①列出时钟信号的逻辑表达式CLK1=Q0 ; CLK2=Q2 ; CLK0=CLK②激励方程组L0=K0=1 ; J1=+;K1=1 ; J2=J1=1 ; J3= ; K3=1③(2)列出状态表:B、秒表的个位是通过将该位计数器的Q3与十位计数器的CLK0相连实现逢9向十位进1的逻辑功能。

C、秒表的十位通过将计数器的Q1、Q2相连和MR1,MR2相连,实现逢6清零的功能(祥见74LS90功能表),其中的与门电路用74LS08实现,其内部逻辑图如下所示:D、自动脉冲产生电路由函数信号发生器给出,可以实现0~59的循环计数。

E、手动脉冲产生电路可以选择0~59的任意数值,其电路图如下所示:每按下开关就有一个脉冲产生,C2起防抖作用。

60秒数字秒表课程设计

60秒数字秒表课程设计

60秒数字秒表课程设计一、课程目标知识目标:1. 学生能理解数字秒表的基本原理,掌握其计时功能的使用方法。

2. 学生能够运用数学知识,进行时间计算,准确地读取和转换秒表显示的时间。

3. 学生理解时间单位秒的概念,并将其与日常生活中的时间感知相结合。

技能目标:1. 学生能够熟练操作数字秒表,进行开始、停止、复位等基本功能的使用。

2. 学生通过实践,提高对时间分配与管理的能力,培养准确计时的技能。

3. 学生能够设计并实施简单的计时实验,通过数据收集与分析,锻炼科学探究能力。

情感态度价值观目标:1. 学生培养对时间的尊重意识,增强时间管理的重要性认识,形成良好的时间观念。

2. 学生在合作完成计时任务时,培养团队协作精神和公平竞争意识。

3. 学生通过对时间的精确测量和计算,体验科学探究的乐趣,激发对科学学习的兴趣。

课程性质:本课程为小学四年级科学课程的一部分,结合数学知识与科学实验,提高学生对时间概念的理解。

学生特点:四年级学生对时间有基本的认识,动手操作能力较强,对科学实验有较高的兴趣。

教学要求:通过直观的教学活动和实验操作,使学生在实践中掌握知识,提高技能,并在情感态度上得到培养。

教学设计应注重实践性与互动性,确保学生能够达成具体的学习成果,并通过有效评估手段进行学习效果的评价。

二、教学内容本课程依据课程目标,结合教材内容,设计以下教学大纲:1. 数字秒表的基本原理- 介绍秒表的构造及工作原理。

- 理解数字显示和时间计算的基础知识。

2. 数字秒表的操作方法- 学习开始、停止、复位等功能键的使用。

- 掌握如何读取和记录秒表上的时间数据。

3. 时间单位秒的认识- 深化对秒作为时间单位的概念理解。

- 通过实例展示秒在生活中的应用。

4. 实践活动:计时实验- 设计简单的计时任务,如测量物体下落时间。

- 实践中引导学生进行数据记录和分析。

5. 时间管理与分配- 引导学生讨论时间管理的重要性。

- 实践活动:在限定时间内完成任务,体验时间分配的效果。

数字秒表电路课程设计

数字秒表电路课程设计

数字秒表电路课程设计一、课程目标知识目标:1. 学生能够理解数字秒表电路的基本原理,掌握计时器的工作机制。

2. 学生能够描述集成计时芯片的主要功能及其在数字秒表电路中的应用。

3. 学生能够运用电子元件搭建简单的数字秒表电路,并解释电路中各元件的作用。

技能目标:1. 学生能够运用所学知识,进行简单的电路图设计和电路搭建。

2. 学生能够操作示波器等测试设备,对数字秒表电路进行调试和故障排查。

3. 学生能够通过小组合作,完成数字秒表电路的搭建和测试,培养实际操作能力。

情感态度价值观目标:1. 学生能够认识到电子技术在日常生活和科技发展中的重要性,激发对电子学科的兴趣。

2. 学生通过实践操作,培养动手能力、观察力和解决问题的能力,增强自信心。

3. 学生在小组合作中学会沟通、协作,培养团队精神和集体荣誉感。

课程性质:本课程为电子技术实践课程,旨在帮助学生将理论知识应用于实际操作中,提高学生的实践能力和创新能力。

学生特点:学生处于高中阶段,具备一定的电子技术基础,对实践操作有较高的兴趣和好奇心。

教学要求:教师应结合学生特点,注重理论与实践相结合,引导学生主动参与实践,培养其动手能力和团队协作能力。

通过课程学习,使学生达到上述课程目标,为后续电子技术课程打下坚实基础。

二、教学内容1. 理论知识:- 数字电路基础知识:逻辑门、触发器、计数器原理。

- 集成计时芯片介绍:常见集成计时芯片的引脚功能、内部结构及其工作原理。

- 数字秒表电路原理:秒表的计时机制、显示原理。

2. 实践操作:- 电路图设计:学生根据理论知识,设计简单的数字秒表电路图。

- 电路搭建:学生利用电子元件,按照设计好的电路图搭建数字秒表电路。

- 电路调试与测试:学生使用示波器等设备,对搭建的数字秒表电路进行调试和测试。

3. 教学大纲:- 第一课时:数字电路基础知识回顾,集成计时芯片介绍。

- 第二课时:数字秒表电路原理学习,电路图设计。

- 第三课时:电路搭建,小组合作进行实践操作。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
目录
摘要 1 1.设计要求 2 2.方案比较与选择 2 3. 设计方案 3
3.1系统框图 3 3.2控制电路 3 3.3 0.01秒脉冲发生电路 4 3.4复位电路 6 3.5译码显示电路 6
3.5.1计数器 7 3.5.2 译码器 8 3.5.3七段数码管(LED) 9 4. 电路工作原理 10 小结 12 参考文献 13 附录 元件清单 14 本科生课程设计成绩评定表 15
简单秒表设计
摘要
如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数 字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成 度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的 工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基 本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规 模地方法仍然需要我们掌握。
无论共阴共阳7段显示电路,都需要加限流电阻,否则通电后就把7 段译码管烧坏了。限流电阻的选取是:5V电源电压减去发光二极管的工 作电压除上10ma到15ma得数即为限流电阻的值。发光二极管的工作电压 一般在1.8V--2.2V,为计算方便,通常选2V即可。发光二极管的工作电 流选取在10-20ma,电流选小了,7段数码管不太亮,选大了工作时间长 了发光管易烧坏。对于大功率7段数码管可根据实际情况来选取限流电 阻及电阻的瓦数。
管显示分钟的两位。本次设计中选择前一种方法。
3. 设计方案
3.1系统框图
在设计一个数字系统时,首先应根据要求,设计出总框图,然后按 框图设计具体电路,这样可避免在设计过程中产生错误。按照设计原 理,数字式秒表的电路总框图如图1所示。它主要由控制电路,脉冲发 生器,与非门,译码显示,复位电路等部分组成。
LT’=1,这时按动8421码拨码开关,输入D,C,B,A四位8421码二进制 数,显示器就显示相应的十进制数。
(4)在(3)步骤后,仍使LT’=1,BI’/RBO’接LED发光二极管,此时 若RBI’=1按动拨码开关,显示器正常显示工作。若RBI’=0,按动拨码 开关8421码输出为0000时,显示器全灭,这时BI’/RBO’端输出为低电 平即LED发光二极管全灭这就是“灭零”功能。
置输入DCBA,即所谓“同步”预置功能(第二行)。和都无效,ET或EP
任意一个为低电平,计数器处于保持功能,即输出状态不变。只有四个
控制输入都为高电平,计数器(161)实现模10加法计数,Q3 Q2 Q1
Q0=1001时,RCO=1。
3.5.2 译码器
译码器电路是将数码转换为一定的控制信号。在此由7447集成元件 构成,它能将一个二进制数码转换为输出端的电平信号以控制显示器。 下图为7447的管脚图:
图9 7447管脚图
LT’,RBI’接逻辑开关,D,C,B,A接8421码拨开开关,a,b,c, d,e,f,g七段分别接显示器对应的各段。地线,电源线接好后,若线 路无误后,接通电源就开始实验论证: (1)LT’=0,其余状态为任意态,这时LET数码管全亮。 (2)再用一根导先把0电平接到BI’/RBO’端,这时数码管全灭,不显 示,这说明译码器显示是好的。 (3)断开BI’/RBO’与0电平相连的导线,使BI’/RBO’悬空。且使
图4 工作波形
图5 仿真0.01秒脉冲发生电路
该电路是由555定时器以及外围的电阻,电容组成的。 其中从555定时器构成的多谐振荡器OUT引脚出来的频率是100赫 兹。 555定时器的参数:T=0.01s,f=100Hz =1/0.695(R1+ 2R2)C 在图中R3+Rp=R1,R5=R2 经过计算并实际调整,方案为R3=130千欧,R5=5.1千欧,Rp=10千
0 × × × × ×××× 0 0 0 0 1 0 × × ↑ DCBA DCBA 1 1 0 × × ×××× 保 持 1 1 × 0 × ×××× 保 持 1 1 1 1 ↑ ×××× 计 数 74LS160功能表 1 2 3 4
5
6 7 8 9 10 11 12 13 14 74LS160
3.5.3七段数码管(LED)
7段数码管又分共阴和共阳两种显示方式。如果把7段数码管的每一 段都等效成发光二极管的正负两个极,那共阴就是把abcdefg这7个发光 二极管的负极连接在一起并接地;它们的7个正极接到7段译码驱动电路 74LS48的相对应的驱动端上。此时若显示数字1,那么译码驱动电路输 出段bc为高电平,其他段扫描输出端为低电平,以此类推。如果7段数 码管是共阳显示电路,那就需要选用74LS47译码驱动集成电路。共阳就 是把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余 的7个负极接到74LS47相应的abcdefg输出端上。
二进制数及二进制代码是数字系统中信息的主要表示形式,与, 或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字电 路中最基本的元件。数字电路的输入,输出信号为离散数字信号,电路 中电子元器件工作在开关状态。除此之外,由与,或,非门构成的组合 逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比 较器以及触发器是常用的器件。
2.方案比较与选择
数字式秒表,就需要显示数字。根据设计要求,要用数码管来做显 示器。题目要求最大记数值为99分59.99秒,则需要一个8段数码管作为 秒位(有小数点)和五个7段数码管作为分秒位。要求计数分辨率为0.0 1秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体振荡器,另一种方 案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。石英晶 振荡器精度很高,一般都需要多级分频。
控制电路
与 非 门 计数译码显示
0.01秒 脉冲发生器 复位电路
3.2控制电路
图1 系统框图
控制电路是由一个基本R-S触发器,机械开关,电阻以及5伏电源组 成。主要实现秒表的停止和开始计数功能。开始,停止功能可以只用一 个机械开关实现,之所以用此电路代替机械开关,是因为利用此电路的 锁存功能,防止开关K在打开和闭合时一些假信号串入逻辑电路,影响 秒表正确计数显示。电路组成如图2所示。
欧,c=100微法。在实践中,如果用示波器观察到频率不正确,可调整 Rp来改变频率,减小误差。
3.4复位电路
图6 复位电路
该复位电路由机械开关,电阻,以及电源组成。输出线1接在74160 的复位端。当需要复位时,合上开关,从输出线1即可输出复位信号 (即清零信号),
复位电路的基本功能是:提供复位信号,直至系统电源稳定后,撤 销复位信号。为可靠起见,电源稳定后还要经一定的延时才撤销复位信 号,以防开关分-合过程中引起的抖动而影响复位。
图2 控制电路
3.3 0.01秒脉冲发生电路
图3 555组成的占空比可调的多谐振荡器
555组成的多谐振荡器可以用作各种时钟脉冲发生器,图3为脉冲频 率可调的矩形脉冲发生器,调节Rp可得到任意频率的脉冲信号,由于电 容C充放电回路的时间常数不等,所以输出波形为矩形脉冲,矩形脉冲 的占空比随频率变化而变化。工作波形如图4所示。
总体来说,通过这次暑期强化训练的学习,我越发感觉电子设计不 是死板的东西,是有很大科学性与艺术性的。不同芯片的使用,不同的 接线方法,不同的变量,不同的实现思路,经过组合后几乎可以称之为 艺术。这次课程设计使我对各种电路都有了大概的了解,也学会了常用 proteus软件的使用,在平时的理论学习中遇到的问题都一一解决,加 深了我对专业的了解,培养了我对学习的兴趣,为以后的学习打下了好 的开端,我受益匪浅。同时,让我明白:电子设计容不得纸上谈兵,只 有自己动手实际操作才会有深刻理解,才会有收获,所谓“千里之行, 始于足下”,这次课程设计最大的意义在于让我们迈出了通往工程师的 第一步。再次感谢指导老师的指导和帮助过我的同学们。
秒表核心部分——计数器,此次选择74LS160计数器。它具有同步 置数和异步清零功能。主要是利用它可以十分频的功能。
计数脉冲是由555定时器构成的多谐振荡器,产生100赫兹脉冲。如 果精度要求高,也可采用石英振荡器。
在选择译码器的时候,有多种选择,如74LS47,74LS48等4-7线译 码器。如果选择7447,则用来驱动共阳极数码管;如果选择7448,则用 来驱动共阴极数码管。在选择数码显示管时,可以利用六个数码管;也 可以借鉴简易数字频率计中的四位数码管来显示后四位,再用两个数码
下图是八段数码管(LED)的示意图,图中引脚6为VCC的为共阳数
码管,引脚6为GND的为共阴数码管。
图10 数码管
本设计采用阳数码管与74LS47匹配。
4. 电路工作原理
该设计电路的总电路图为:
图11 总电路图
各部分工作原理如下: 控制电路:它是由两个74LS00集成与非门元件构成的基本R-S触发 器,接在机械开关K的后面,防止开关K在打开和闭合时一些假信号窜入 逻辑电路。用来控制秒表的开始,暂停。 复位电路:作为清零复位用。它是由电源,开关和一个电阻组成的 电路。 0.1秒脉冲发生器电路:它由555集成定时器元件和外围的电阻和电 容等元件构成的多谐振荡器。调节滑动电阻的数值,可以改变脉冲发生 器的输出频率。 计数器电路:从进位制来分,有二进制计数器,十进制计数器等多 种形式。在此采用的74LS160十位二进制计数器,即8421编码方式。 译码器电路:是将数码转换为一定的控制信号。在此由74LS47集成 元件构成,它能将十个二进制数码转换为输出端上的电平信号以控制显 示器。 显示器电路:有辉光数码管和荧光数码管等多种显示电路。此次设 计中采用的是共阳极七段LED显示器。 电路工作原理: 在仿真软件上接通电源 1.合上复位电路的开关,是电路在工作之前先清零。电子秒表处于 复位状态。 2.当第一次按动开关K,产生第一个单脉冲作为基本RS触发器的时 钟,使三状态控制电路的输出端Q1产生高电平,经与非门后,使0.01秒 脉冲进入计数器计数,并译码、显示出来。 3.当第二次按动开关K,产生第二个单脉冲使三状态控制电路输出端 Q1输出低电平Q2输出高电平,关闭与非门,使计数停止。 4.当需要复位清零时,按动复位电路的开关K。电路即处于复位状 态。
相关文档
最新文档