电子拔河游戏机设计全文

合集下载

拔河游戏机的设计

拔河游戏机的设计

拔河游戏机的设计一、设计目标:1.提供真实的拔河体验,让用户感受到真实的体力竞技活动;2.增强家庭成员之间的互动和合作意识;3.提供多人游戏模式,可以与朋友家庭一起参与游戏;4.结合虚拟现实技术,增加游戏的乐趣和刺激性。

二、外观设计:游戏机的外观以红、蓝两种主色调为主,象征着两个参与拔河比赛的队伍。

整机底部设计有稳固的支撑架和轮子,方便用户随时移动游戏机到所需的地方进行游戏。

三、内部结构:游戏机内部还嵌入了虚拟现实技术,通过激光投影系统将游戏画面投射到场地上方的屏幕上,使玩家可以身临其境地感受到拔河比赛的紧张氛围和乐趣。

四、操作方式:游戏机设有多个操作按钮和触摸屏,用于玩家进行游戏操作和选择游戏模式。

游戏开始后,玩家需要分组,选择自己所要加入的队伍。

每个队伍位于游戏机的一侧,队伍的位置标识以红、蓝两种颜色进行区分。

在游戏进行过程中,玩家需要通过施加力量来拔河。

当队伍成员同步用力时,游戏机内的传感器会实时记录施力的大小,并通过投影系统显示在屏幕上。

五、游戏模式:单人模式中,玩家可以与游戏机进行对抗,通过不断提高力量输出来挑战自己的极限。

双人模式中,两个队伍的玩家可以进行实时对抗比拼,比赛结束后会根据队伍施力情况进行排名。

团队模式中,多个队伍的玩家可以组成一个大团队进行比赛,通过团队协作来获得最高分数。

六、游戏规则:游戏规则可以根据不同的模式进行调整。

一般来说,比赛时间为两分钟,双方需要在规定时间内用力拔动游戏机。

比赛结束后,会根据队伍施力情况和距离分析,来决定哪个队伍获得胜利。

七、安全设计:游戏机的支撑架和底部轮子具有良好的稳固性,保证在游戏过程中不会发生倾斜或滑动等意外情况。

总结:拔河游戏机的设计旨在提供真实的拔河体验和多人互动的乐趣。

通过创新的虚拟现实技术和实时反馈系统,使玩家可以身临其境地感受到拔河比赛的紧张氛围和刺激性。

这款游戏机将成为家庭娱乐的一大亮点,增强家庭成员之间的凝聚力和合作意识。

电子拔河课程设计

电子拔河课程设计

电子拔河游戏机一、设计任务与要求(1)设计一个模拟拔河游戏比赛的逻辑电路。

(2)电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。

(3)比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

(4)亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。

(5)用七段数码管显示双方的获胜盘数。

二、方案设计与论证电子拔河游戏机是以15个发光二极管组成的绳,参赛双方按键盘上按键的频率为拉力大小的一种游戏电路。

为了游戏的公平,电路必须附有一个开始按键,即只有按下此键电路才能运行,双方才能开始比赛。

比赛前最中间的发光二极管亮,比赛双方通过按键盘上的两个按键使发光的二极管分别向左和右移动,当左右两端其中有一个亮时,电路会锁定这个状态,使双方的按键都失效。

这时候通过计数器再由七段数码管显示出来,这样能够显示出双方各自取胜的盘数。

完成一局后,电路已被锁定,要进行下一局,必须用到复位键,在接下来的分析中可以发现将复位和开始两个命令设置为同一个键,按下此键使电路回到初始状态,即只有最中间的二极管发光。

当两个人比赛完后,要在电路上加一个使七段数码管的数据清零的按键,以便另外两人进行比赛。

方案一:运用10进制加减计数器74LS192和4—10线译码器进行实现,清零键接74LS192的清零端,锁定电路用置数端。

方案二:运用16进制加减计数器74LS193和4—16线译码器进行实现,清零键用74LS193的置数端,锁定电路用输入脉冲与“电子绳”的其中一端用一个与门接成。

由于所用到的LED灯有15个,所以用方案一用到的计数器和译码器会更多,使电路更复杂,用置数端实现对电路的锁定用到的接线更多,而且实现仿真的multisim1.0中有方案二用到的所有元件,所以选用方案二。

电子拔河游戏机设计全文

电子拔河游戏机设计全文

数字逻辑与数字系统课程设计报告设计题目:拔河游戏机专业班级:计算机科学与技术08-2班学生:程 20082567同组学生:恒 2008指导教师:拔河游戏机摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力本课程设计的容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规则和真的拔河比赛规则相类似。

目录一.设计任务与要求 (1)1.设计任务 (1)2.设计要求 (1)二.总体设计方案 (1)1.设计思路 (1)2.电路设计原理 (1)3.两个方案的对比 (6)4. 实验方案论证 (6)5. 实验目的 (6)6. 实验器件 (7)三.单元电路设计与参数计算 (7)1. 整形电路 (7)2. 计数电路 (7)3. 译码电路 (8)4. 胜负显示电路 (9)四.总原理图及元器件清单 (11)1. 总原理图 (11)2. 说明 (14)3. 元器件清单 (14)五.结论与心得 (15)六.参考文献 (16)一.设计任务与要求设计思路给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。

1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。

2.用七段数码管显示胜者取胜的盘数。

任务与要求:1.设计一个模拟拔河游戏比赛的逻辑电路。

2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。

3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

电子技术课程设计-拔河游戏机的设计[优秀]

电子技术课程设计-拔河游戏机的设计[优秀]

电子技术课程设计——拔河游戏机的设计拔河游戏机的设计一、选题依据设计一台具有自动数字显示功能的拔河游戏机.电子游戏是常见的娱乐活动,电子游戏的设计有趣味性高,操作简单.通过多它的设计扩展自身思路,提高自身综合运用知识能力.二、设计要求游戏分甲乙双方,设计一拔河游戏机,用按键的速度来模拟双方的力量,一点亮的发光二极管的左右移动显示双方比赛的状态.1. 拔河游戏机有9个发光二极管排成一排,比赛开始时,中间的发光二极管点亮,此为拔河中心.2. 甲乙双方各持一个按键,比赛开始时双方迅速地不断按动各自的按键,以此产生脉冲,谁按得快,亮点就向谁方移动(以甲方为右,乙方为左).3. 当任意一方终端发光二极管点亮时,这一方就取胜一局,此时发光二极管发光状态保持,双方按键无效,必须经复位键复位,两点才能恢复移至中间,开始新一轮的比赛.4. 要设置显示器显示甲乙双方赢的局数.三、电路结构及其工作原理1.电路的结构框图:图1 拔河游戏机线路框图2.电路的原理图:图2 拔河游戏机整机线路图3.电路工作原理:1、电路框图的说明可逆计数器CC40193原始状态输出接至译码器地址输入端,比赛开始时,可逆计数器原始输出状态是0000,经译码器输出使中间的一只发光二极管点亮.当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动发光二极管点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用.如按动复位键,亮点又回到中点位置,比赛又可重新开始.将双方终端二极管的正端分别经两个与非门后接至二个十进制计数器CC4518的允许控制端EN,当任一方取胜,该方终端二极管点亮,产生一个下降沿使其对应的计数器计数.这样,计数器的输出即显示了胜者取胜的盘数.2、编码器的使用与说明编码器有两个输入端,四个输出端,要进行加/减计数,因此选用CC40193双时钟二进制同步加减器来完成.图3 编码电路3、整形电路的设计与分析说明CC40193是可逆计数器,控制加减的CP脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CPD 必须接高电平;进行减法计数时,加法输入端CPU 也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,那么就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行.加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这样就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数.整形电路由与门CC4081和与非门CC4011实现.图4整形电路图4、译码电路的设计与分析说明选用4线-16线CC4514译码器.译码器的输出Q0~Q14 分接9个发光二极管,二极管的负端接地,而正端接译码器;这样,当输出为高电平时发光二极管点亮.比赛准备,译码器输入为0000,Q0 输出为“1”,中心处二极管首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移.图5 译码电路图5、控制电路的设计与分析说明为指示出谁胜谁负,需用一个控制电路.当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效.此电路可用异或门CC4030和非门CC4011来实现.将双方终端二极管的正极接至异或门的两个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经非门产生低电平“0”,再送到CC40193计数器的置数端,于是计数器停止计数,处于预置状态,由于计数器数据端A、B、C、D和输出端QA、QB、QC、QD对应相连,输入也就是输出,从而使计数器对输入脉冲不起作用.图6 控制电路图6、胜负显示电路的设计与分析说明将双方终端二极管正极经非门后的输出分别接到二个CC4518计数器的EN端,CC4518的两组4位BCD码分别接到实验装置的两组译码显示器的A、B、C、D插口处.当一方取胜时,该方终端二极管发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若一位数不够,则进行二位数的级联.图7 胜负显示电路图7、复位电路的设计与分析说明为能进行多次比赛而需要进行复位操作,使亮点返回中心点, 可用一个开关控制CC40193的清零端R即可.胜负显示器的复位也应用一个开关来控制胜负计数器CC4518的清零端R,使其重新计数.注:1、CC40193同步递增递减二进制计数器引脚排列及功能参考CC40193(74LS193)同步十进制可逆计数器的引脚排列及功能.74LS193是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下所示:图8 74LS193的引脚排列及逻辑符号(a)引脚排列(b) 逻辑符号图中:为置数端,为加计数时钟输入端,为减计数时钟输入端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端.其功能表如下:输入输出米RP3 P2 P1 P0 Q3 Q2 Q1 Q01 ×××××××0 0 0 00 0 ××d c B a d c b A1 1 ××××加计数01 1 ××××减计数图9 CC4514 4线—16线译码器引脚排列A0~A3 —数据输入端INH —输出禁止控制端LE —数据锁存控制端输入高电平输出端输入高电平输出端LE INH A3 A2 A1 A0 LE INH A3 A2 A1 A01 0 0 0 0 0 YO 1 0 1 0 0 1 Y9 1 0 0 0 0 1 Y1 1 0 1 0 1 0 Y10 1 0 0 0 1 0 Y2 1 0 1 0 1 1 Y11 1 0 0 0 1 1 Y3 1 0 1 1 0 0 Y12 1 0 0 1 0 0 Y4 1 0 1 1 0 1 Y13 1 0 0 1 0 1 Y5 1 0 1 1 1 0 Y14 1 0 0 1 1 0 Y6 1 0 1 1 1 1 Y15 1 0 0 1 1 1 Y7 1 1 × × × × 无1 0 1 0 0 0 Y8 0 0 × × × × ①3、CC4518双十进制同步计数器引脚排列及功能:1CP、2CP —时钟输入端1R、2R —清除端1EN、2EN —计数允许控制端1Q0~1Q3 —计数器输出端2Q0~2Q3 —计数器输出端图10 CC4518 双十进制同步计数器引脚排列输入输出功能CP R EN↑ 0 1 加计数0 0 ↓ 加计数↓ 0 ×× 0 ↑保持↑ 0 01 0 ↓× 1 × 全部为“0”四、电路主要元件简介1、同步递增递减二进制计数器(CC40193)1个,4线-16线译码器(CC4514)一个,异或门(CC4030)一个,双十进制同步计数器(CC4518)2个,译码器(CC4511)2个,与非门(CC4081)2个,与非门(CC4011)11个.2、电阻:200欧的9个,1000欧的4个.3、发光二极管(LED)9个.4、电源输入串口 1个,导线若干.五、课程设计体会:通过本次课程设计,使我对专业课《数字电子技术》及《模拟电子技术》的知识又有了更加深刻的理解和掌握,我掌握CC40193同步递增递减二进制计数器引脚排列及功能、CC4514 4线-16线译码器引脚排列及功能和CC4518双十进制同步计数器引脚排列及功能,对电子器件的认识也不再停留在字面上,实现了从理论到实践的飞跃.自己动手设计使用电路图,安装元器件,调试器件,试验并让其应用,这些过程不仅仅让我更深刻的明白了课本上的原理知识,还让我认识到了使用时的注意事项,安装时的方法等.这对于我们以后动手能力也有个很大的提高.。

电子技术基础设计报告简易拔河游戏机

电子技术基础设计报告简易拔河游戏机

西北工业大学课程设计报告题目:简易拔河游戏机学院:班级:学生(学号):学生(姓名):学生(学号):学生(姓名):日期: 2011 年 12 月 29日摘要我们设计的是模拟拔河游戏机,通过两个按键开关,以按键快慢来表示用力的大小,以七个LED灯表示绳子。

开始时,中间的LED 灯亮,表示绳子的中点,当其中一人获胜,即出现最边端的LED灯亮的情况后,锁定两个按键,按复位键后,解除锁定,数码显示管显示胜局数。

我们设计的拔河游戏机是五局三胜制(可预置M局N胜制),当其中一方先胜三局后比赛结束,此时,按键锁定并且LED灯依次循环亮灭表示比赛结束,复位键按下之后才可以进行新一轮的比赛。

关键词:拔河游戏机、闪烁、锁定、复位目录一、课程设计目的••••••••••••••••••••••••• 4二、设计任务与要求•••••••••••••••••••••••• 4三、方案设计与论证••••••••••••••••••••••••4四、单元电路设计与参数计算•••••••••••••••7五、电路的安装与调试••••••••••••••••••••••13六、遇到问题的解决方法•••••••••••••••••••• 14七、结论与心得••••••••••••••••••••••••••• 14八、参考文献••••••••••••••••••••••••••••• 16课程题目:拔河游戏机一、课程设计目的1)巩固和加深所学电子技术课程的基本知识,提高综合运用所学知识的能力;2)培养学生根据课题需要选用参考书、查阅手册、图表和文献资料的能力,提高学生独立解决工程实际问题的能力3)通过设计方案的分析比较、设计计算、元件选绎及电路安装调试等环节.初步掌握单实用电路的工程设计方法.4)提高学生的动手能力.掌握常用仪器设备的正确使用方法,学会对简单实用电路的实验调试和对整机指标的测试方法,5)了解与课题有关的电路以及元器件的工程技术规范,能按课程设计任务书的要求编写设计说明书,能正确反映设计和实验成果,能正确绘制电路固等。

数字电路课程设计——拔河游戏机

数字电路课程设计——拔河游戏机

一、设计要求:1、拔河游戏机需要9个发光二极管排成一行,开机后只有中间一个亮点,以此作为拔河的中间线,游戏双方各持一个按键,迅速、不端地按动产生脉冲,哪方按得快,亮点就向哪方移动,每按一次,亮点移动一次。

移到任一方二极管的终端,该方获胜,此时双方按键均无作用,输出保持,只有经复位后才能使亮点恢复到中心线。

2、显示器显示胜者的盘数。

二、采用器件及软件环境:硬件:ispLSl1016E芯片软件:ispEXPERT System及windows2000操作系统三、设计思想及说明:1、概述:使用ispLSl1016E芯片,使用ispEXPERT下载到芯片,采用74LS162计数,采用数字电路实现系统设备模拟测试2、设计思想:9个发光二极管用来模拟拔河的过程,中间一个灯为中线标志。

模拟的两端按左右两个按钮,按钮产生脉冲信号,芯片根据两侧按钮信号的快慢,控制中间的发光二极管的灯的熄灭,某一侧按得较快,中间亮的灯就会向那一侧移动;当亮的灯到达最外侧的灯时,锁定程序,(即任何按键无效,直到按复位键复位)并且相应端的计数器计数加一,用以表示获胜的次数。

使用复位键可以在锁定或者在比赛中断后重新开始时,将标志灯回到中心。

3、说明:中间灯亮表示中线;最外侧灯亮表示该端获胜;计数表示获胜次数;复位键使亮灯回到中线位置。

四、设计步骤,各模块组成,简要说明:1、设计步骤:分析设计要求,选择合适芯片,编写芯片代码,下载代码到芯片,连接数字电路,分项测试功能。

2、模块组成:设计程序使用一个名为baheji的模块,模块分为初始化(复位),判断是否到达最末端,没有的话,根据按键方式判断移动中间的信号灯;如果到达末端,产生计数,并且锁定;四个部分。

3、简要说明:count1.ar = cd1;count2.ar = cd1;score1.ar = cd2;score2.ar = cd2;初始化(复位)拔河游戏。

(count1-count2==4)或(count1-count2==-4);//说明:判断是否到达最末端的条件,如果是的话,计分器加1,并执行将死锁,并且将L发光二极管锁定状态。

电子技术课程设计报告 拔河玩具

电子技术课程设计报告 拔河玩具

“拔河游戏”玩具一 内容提要:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。

由一排LED 发光二极管表示拔河的“电子绳”。

由甲、乙二人通过按钮开关使发光的LED 管向自己一方的终点移动,当亮点移到任何一方的终点时,则该方获胜,连续比赛多局以定胜负。

本文粗略讲述了我们组在本次课程设计中的整个设计过程及收获。

二 设计内容及要求:1. 设一个裁判按键, 供裁判宣布新一轮游戏开始. 裁判按键后, 两个竞赛按键才有效,同时将中间的发光二极管点亮(绳子中心居中)。

安排15个发光二极管模拟绳子.2. 设两个竞赛按键供二人游戏使用,每按动一次,产生一个脉冲,使计数器加1 或减 1 ,计数器经过译码后 ,绳子中心相应地移动一次。

此处注意:如一方在按键或松开按键时,要保证另一方能正常工作。

3.当绳子中心(点亮的发光二极管)移到任一端,结束游戏。

同时,要锁住计数脉冲,竞赛按键无效, 并产生一计分脉冲。

4.(*) 安排两个计分计数器及译码,显示电路,实现计分,记录双方获胜的次数。

三 设计思路及原理:1、电子绳用15个发光二极管2、两个人分别用两个按钮向4为二进制可逆计数器送加减脉冲,看谁快3、 按钮输入需要防抖,并整成窄脉冲为防止一方按住按键不放而使计数器无法对另一方脉冲计数4、要使计数器的计数值对应点亮对应相应的灯,需要用译码器(用154或138)甲方 乙方1 2 3 4 5 6 7 8 9 10 11 12 13 14 15用138,需要两片,要考虑如何配合5、任一方胜出,应阻止计数脉冲继续输入,★并为胜出方加分胜出条件的判别可从译码器获得,也可从计数器获得●停止计数可锁住计数脉冲,也可直接停止计数器●胜出次数记分,甲乙各用一位十进制计数器,由获胜判别给出计数脉冲6、要设置一裁判键来启动新一轮比赛,需要完成的任务是,①为计数器置初值(使电子绳亮点位于中心),②开放甲乙双方的脉冲输入(防止强按)。

课程设计报告之电子拔河游戏机

课程设计报告之电子拔河游戏机

课程设计报告之电子拔河游戏机
电子拔河游戏机是一款受到全球玩家喜爱的多人娱乐游戏,其机器可实现两两进行拔
河斗技,实现多人同时游戏的娱乐乐趣。

本课程设计的目的是设计一种电子拔河游戏机,
并实现多人进行拔河游戏。

首先,设计电子拔河游戏机的硬件系统。

该游戏机由触摸屏,多个LED指示灯,按键,声音设备,电机系统,外壳,电源等组件组成,其中触摸控制屏幕是控制系统的核心部件。

其次,确定电子拔河游戏机的功能模块。

包括系统检查,触摸屏按钮功能,按钮驱动,声音播放,LED显示等,本系统采用C语言编写程序控制硬件,实现功能。

最后,在完善电子拔河游戏机的实物实现前,需要进行软件模拟,使用Pro/E进行器
件实物三维建模,使用C语言实现功能模块程序,使用MATLAB搭建时序模型进行整机功
能模拟,以测试游戏机正常工作的性能。

本课程设计主要采用C语言和electronic前端工具设计电子拔河游戏机,实现多人
同时参与游戏,增加娱乐性。

通过本次课程设计,设计者掌握了硬件系统,软件设计及整
机功能模拟测试的相关知识,让我们更加深入地了解电子游戏机的设计和实现技术。

电子拔河游戏

电子拔河游戏

摘要拔河游戏机用15个LED排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。

最后,显示器显示胜者的盘数。

第1章电子拔河游戏机电路的设计方案1.1功能描述(1)整体描述:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。

由一排15个LED发光二极管表示拔河的“电子绳”。

由甲乙双方通过按钮开关使发光的LED管向自己一方的终点延伸,当延伸到某方的最后LED管时,则该方获胜,并对获胜次数进行计数,连续比赛多局以定胜负。

用键盘上的A键和Z键表示开关按钮。

用键盘上的S键来代替清零信号,每次比赛前都要进行清零,并使按钮开关复位。

(2)比赛开始,由裁判下达比赛命令后(用空格键代表裁判信号,按一下空格键),甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。

裁判信号由键盘空格键来控制。

(3)“电子绳”由15个LED管构成,裁判下达“开始比赛”的命令后,按一下空格键,位于“电子绳”中点的LED发亮。

甲乙双方通过按键输入信号,用键盘上的数字键A键Z来模拟,按一下A向左移动,按一下Z键向右移动。

使发光的LED管向自己一方移动,并阻止向其对方延伸,谁按得快就向这一方移动。

当从中点至自己一方的最后一个LED管发亮是,表示比赛结束,这时,电路自锁,保持当前状态不变,除非由裁判使电路复位,并对获胜的一方计数器自动加一。

(4)记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。

(5)双方得分计数器的清零信号由键盘上的数字键2、3键来实现。

当比赛结束时,计分器清零,为下一次比赛做好准备。

1.2 总体设计方案框图图1.1 第2章电子拔河游戏机各单元电路设计2.1整形电路设计图2.174LS193是可逆计数器,控制加减的CP脉冲分别加至和CPD 和CPU,此时当电路要求进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU 也必须接高电平,若直接由A、Z键产生的脉冲加到CPU和CPD,那么就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。

电子拔河游戏机设计 数电课程设计

电子拔河游戏机设计 数电课程设计

长沙学院数电课程设计说明书题目电子拔河游戏机设计系(部)专业(班级)姓名学号指导教师起止日期数字电子技术课程设计任务书系(部):专业:指导教师:长沙学院课程设计鉴定表目录一.设计任务 (5)1、设计内容 (5)2、基本要求 (5)二.原理框图和总设计方案 (5)1、原理框图 (5)2、设计方案 (5)三.单元电路设计 (6)1、单元电路功能 (7)2、电路结构和元器件选择 (7)3、软件仿真测试 (7)四.所选用集成电路的逻辑符号图、引脚图、功能表 (8)五.总体电路的软件仿真测试 (10)六.总体电路的硬件实验测试 (11)七.设计结论和心得感悟 (12)八.参考文献 (12)一.设计任务1、设计内容设计并制作一个电子拔河游戏机。

2、基本要求(1)比赛开始,由裁判下达比赛命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。

(2)“电子绳”至少由7个LED管构成,裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED发亮。

甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。

当从中点至自己一方终点的LED管全部发亮时,表示比赛结束。

这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。

(3)记分电路用两位七段数码管分别对双方得分进行累计,在每次比赛结束时电路自动加分。

二.原理框图和总设计方案1、原理框图图1 原理框图2、设计方案电子拔河游戏机电路由输入整形电路、计数器编码电路、译码器和电子绳电路、保持电路、计分显示电路五部分组成:(1)输入整形电路由74LS00与非门、74HC08与门组成。

选择其中四个与非门接成两个RS触发器,用于防止开关状态变化时信号产生抖动;其余与非门和与门连接成能产生脉冲微延迟的结构,以得到占空比很大的脉冲。

由于74LS192计数器进行加法计数时,减法输入端CPD必须接高电平;进行减法计数时,加法输入端CPU也必须接高电平。

电子拔河游戏机设计

电子拔河游戏机设计

电子拔河游戏机设计※※※※※※※※※※※ **级电子信息工程※※ 专业电子技术课程※※ 设计※※※※※※※※※电子技术课程设计报告书电子拔河游戏机设计课题名称**** 姓名******** 学号物理与电子信息工程院、系、部电子信息工程专业**** 指导教师****年**月**日一、设计任务及要求:设计任务:设计一个拔河游戏机,能显示获胜盘数,复位归零要求:1. 设计一个模拟拔河游戏机比赛的逻辑电路。

2. 电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。

3. 比赛双方各持一个按钮,快速不断地按动按钮。

产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次。

发光二极管移动一位。

4. 亮的发光二极管移到任一方的终点时,该方就获胜,此时双方的按钮都应无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。

5. 用七段数码管显示双方的获胜盘数指导教师签名:****年月日二、指导教师评语:指导教师签名:**** 年月日三、成绩验收盖章****年月日1电子拔河游戏机设计1 设计目的(1)熟悉模拟电路的应用与集成电路的引脚排列。

(2)掌握常用芯片的逻辑功能及使用方法。

(3)熟悉电路仿真软件Multisim 11.0使用。

(4)了解电子拔河游戏机组成及工作原理。

(5)熟悉用计数器的输出状态通过译码器控制电平指示灯的显示状态设计与制作。

2 设计任务(1)本课题所设计的拔河游戏机由15个电平指示灯排列成一行,开机之后只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

移到任一方终端指示灯点亮,这一方就获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。

(2)当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。

拔河游戏机电子综合设计报告

拔河游戏机电子综合设计报告

拔河游戏机电子综合设计报告周庭旭、罗朋、李俊宏1、方案设计i.方案论证与设计通过两个计数器对两个玩家的按键次数分别计数并输出按键次数,通过求差模块求出两人按键次数的差值并输出。

然后通过比赛情况模块分析当前的比赛情况,如果有一方的led灯移动到边缘,该方这一轮胜利,加一分,同时输出信号使计数器锁定,即双方按键都无效。

之后按下复位键LED灯恢复到初始状态(只有中间一个灯亮)。

当有一方得两分时,计分模块输出信号开始播放歌曲。

ii.系统原理框图iii.主要电路设计与参数计算电路设计主要设计四个模块,包括十五个led灯的、五个开关、一个扬声器、一个数码管。

扬声器的电路比较简单,就是一个三极管放大电路加上一个扬声器,三极管基极通过一个1kΩ的电阻接到芯片,发射极出来就扬声器,集电极和扬声器负段接在一起接地。

Led的电路就是由电阻和led灯组成的,电阻我们用的是270Ω的,这里主要考虑的是led的亮度,其实用100欧到500欧的电阻接入,led的亮度都可以接受,不过由于270欧电阻比较多,我们就这样用了。

Led正端通过电阻接到芯片,负端接地。

开关的电路方面我先在网上查了六脚开关的引脚和原理,然后根据网上的只是做出了自己的设计,通过一个500欧的电阻连接到六脚开关的2端和芯片,把1端接地,三端接’1’即芯片上的3.3伏端。

数码管的电路负载一点,数码管12个引脚,包括4个位选信号端和8个段选信号端,4个位选信号通过一个三极管放大电路连芯片,由于数码管的小数点我们用不到,段选信号我们只用了7个,分别通过一个100欧的电阻接到芯片。

我们的数码管和开关的封装在库里面都没有,我们把库里面的封装改变了一下,变成我们现有器件的封装。

我们用了31个电阻,阻值是通过连电路测试得到的。

iv.总体电路图电路图如下Pcb图如下2、测试步骤为了好检查出错的地方以及为了便于修改,所以测试部分采取先用模块测试,再整体测试的方法。

过程如下:①进行按键消抖测试:通用一个额外编写的计数器来记录按下的次数,检验消抖是否有效,如果计数器上显示的数与自己实际按下的次数相同的话,说明按键消抖起作用了。

EDA课程设计拔河游戏机

EDA课程设计拔河游戏机

实验要求拔河游戏机(1)、设计要求●设计一个能进行拔河游戏的电路。

●电路使用15个(或9个)发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。

●游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

●亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

●由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

●用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

(2)、设计提示●加/减计数器●译码器●得分计数显示电路2设计原理及总体框图基本原理:由设计内容可知,需要一个十进制的计数器,用于对双方按钮的次数计数。

当led 灯移动到一端时,那边的选手得1分,通过比较模块比较两位选手的胜利的得分,并通过译码器显示在数码管上。

设计要求用1MHz 的频率,而设计用到的是100Hz 、5Hz 和1Hz 的频率,所以要设计一个程序进行分频。

显视控制部分设计要求在发光二极管上显示游戏状态,双方每按十次,亮点向先按十次移动一次,对脉冲进行计数,每十次移一位。

需接入一个清零端,用于复位。

将以上程序组装起来。

当两位选手其中一位选手先得到3分时,比赛结束,音乐响起,所以有一个音乐模块。

总体框图:图1 总体框图3 程序设计① 总体设计电路分频器 division防抖 fangdo u计数 count比赛 compete译码 decodePlayer1 Player2音乐 music图2 总体设计图②模块设计和相应模块程序a.分频器library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity division isport( clk:in std_logic;clk_100,clk_4,clk_1:outstd_logic );end division;architecture division_body of division is signal count1:integer range 0 to 4999;--signal count1:integer range 0 to 1;signal count2:integer range 0 to 124999;signal count3:integer range 0 to 49;--signal count3:integer range 0 to 1;signal clk1,clk2,clk3:std_logic;begin--得到100HZ的频率process(clk)beginif(clk'event and clk='1')thenif(count1=4999)thencount1<=0;clk1<=not clk1;else count1<=count1+1;end if;end if;end process;--得到4HZ 的频率 process(clk) beginif(clk'event and clk='1') then if(count2=124999) then count2<=0;clk2<=not clk2; else count2<=count2+1; end if; end if; end process;--得到1HZ 的频率 process(clk1) beginif(clk1'event and clk1='1') then if(count3=49) then count3<=0;clk3<=not clk3; else count3<=count3+1; end if; end if; end process;clk_100<=clk1; clk_4<=clk2; clk_1<=clk3; end division_body; b. 防抖模块 library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity fangdou_player1 isport( clk_100:in std_logic; player1_b:in std_logic; player1:out std_logic );end fangdou_player1;architecture fangdou_player1_body of fangdou_player1 is signal mp1,mp2:std_logic;图3 分频器 图4 防抖1beginprocess(clk_100)beginif(clk_100='0') thenmp2<=mp1;mp1<=player1_b;end if;end process;player1<=clk_100 and mp1 and (not mp2);end fangdou_player1_body;library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity fangdou_player2 isport( clk_100:in std_logic;player2_b:in std_logic;player2:out std_logic);end fangdou_player2;architecture fangdou_player2_body offangdou_player2 is图5 防抖2 signal mp1,mp2:std_logic;beginprocess(clk_100)beginif(clk_100='0') thenmp2<=mp1;mp1<=player2_b;end if;end process;player2<=clk_100 and mp1 and (not mp2);end fangdou_player2_body;c.计数器library IEEE;use IEEE.std_logic_1164.all;entity count isport( clk_1:in std_logic;switch:in std_logic;player1,player2:in std_logic;sum1,sum2:out integer range 0 to 10图6 计数器 );end count;architecture count_body of count issignal p1,p2:integer range 0 to 10 ;beginsum1<=p1;sum2<=p2;process(player1,player2,switch,clk_1)beginif(switch='1') thenif(clk_1='0') then--p1<=0;--p2<=0;if(player1='1') then p1<=p1+1;end if;if(player2='1') thenp2<=p2+1;end if;elsep1<=0;p2<=0;end if;elsep1<=0;p2<=0;end if;end process;end count_body;d.译码器library IEEE;use IEEE.std_logic_1164.all;图7 译码器entity devode isport( clk_1:in std_logic;clk:in std_logic;nixie_state1,nixie_state2:in std_logic_vector(1 downto 0);leds_state:in std_logic_vector(2 downto 0);nixie1:out std_logic_vector(6 downto 0);nixie2:out std_logic_vector(6 downto 0);leds:out std_logic_vector(6 downto 0));end devode;architecture devode_body of devode issignal tmp_leds:std_logic_vector(6 downto 0);signal tmp_nixie1:std_logic_vector(6 downto 0);signal tmp_nixie2:std_logic_vector(6 downto 0);signal tmp_nixiea:std_logic_vector(6 downto 0);signal tmp_nixieb:std_logic_vector(6 downto 0);beginleds<=tmp_leds;process(clk_1,leds_state,nixie_state1,nixie_state2)beginif(clk_1'event and clk_1='0') thencase leds_state iswhen "100"=> tmp_leds<="0001000";when "011"=> tmp_leds<="0010000";when "010"=> tmp_leds<="0100000";when "001"=> tmp_leds<="1000000";when "101"=> tmp_leds<="0000100";when "110"=> tmp_leds<="0000010";when "111"=> tmp_leds<="0000001";when others =>tmp_leds<="0001000";end case;end if;if(clk_1'event and clk_1='0') thencase nixie_state1 iswhen "00"=>tmp_nixie1<="1111110";when "01"=>tmp_nixie1<="0110000";when "10"=>tmp_nixie1<="1101101";when "11"=>tmp_nixie1<="1111001";end case;end if;if(clk_1'event and clk_1='0') thencase nixie_state2 iswhen "00"=>tmp_nixie2<="1111110";when "01"=>tmp_nixie2<="0110000";when "10"=>tmp_nixie2<="1101101";when "11"=>tmp_nixie2<="1111001";end case;end if;end process;nixie1<=tmp_nixiea;nixie2<=tmp_nixieb;process(clk_1,tmp_nixie1,tmp_nixie2,tmp_nixiea,tmp_nixieb) beginif(clk_1='1')thentmp_nixiea<=tmp_nixie1;elsetmp_nixieb<=tmp_nixie2;end if;end process;end devode_body;4 引脚锁定图9 引脚锁定图5 硬件调试与结果分析编程下载及配置,选择tool —programmer 如下图,重编译,并进行编程下载到SOPC开发板进行功能验证。

拔河游戏机(五篇范文)

拔河游戏机(五篇范文)

拔河游戏机(五篇范文)第一篇:拔河游戏机拔河游戏机的设计一、设计要求 1.设计一个模拟拔河游戏比赛的逻辑电路。

2.电路使用 9 个发光二极管,开机后只有在拔河绳子中间的发光二极亮。

3.比赛双方各持一个脉冲按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都无作用,状态保持,只有当裁判按动复位后,在拔河绳子中间的发光二极管重新亮。

二、设计方案1.本课题所设计的拔河游戏机可由加减计数器进行计数并通过 4 线-16 线连接15 个电平指示灯排列成一行,开机之后计数器计数 0000,只有中间一个电平指示灯亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

移到任一方终端指示灯点亮,代表这一方获胜,此时双方按键均无作用,输出保持,只有经裁判复位后才使亮点恢复到中心线。

2.当一局比赛结束后,由点亮该终点灯的信号使电路封锁加减脉冲信号的作用.即实现电路自锁,使加家减脉冲无效。

3.设计总体框图三、具体电路设计及工作原理说明 1.实验器材 74LS193 加减计数器 4 线-16 线译码器 CC4514 74LS00 与非门 74LS08 与门指示灯+5V 电源 2.编码电路由二进制同步可逆计数器 74LS193 构成,它有4 个输入端,4 个输出端,能进控制按键编码电路(可逆计数器)复位开关 A 译码器整形电路(控制电路)()指示灯行加/减计数。

通过编码器来控制电平指示灯的显示,加计数时向右移动,进行减计数时,向相反方向移动。

电路图如下:3.译码电路由 4 线-16 线译码器 CC4514 构成。

译码器的输出Y0~Y15 中选出 9 个接电平指示灯(Y0~Y7、Y9~Y15),电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。

拔河游戏机的设计

拔河游戏机的设计

目录第1章拔河游戏机控制电路的设计方案论证 11.1拔河游戏机控制电路的应用意义 11.2拔河游戏机控制电路设计的要求及技术指标 11.3 设计方案论证 21.4 总体设计方案框图及分析 2第2章拔河游戏机控制电路各单元电路设计 32.1 编码电路设计 32.2 整形电路设计 32.3 译码电路设计 42.4 控制电路设计 42.5 胜负显示电路设计 5第3章拔河游戏机控制电路整体电路设计 63.1 整体电路图及工作原理 63.2 整机电路性能分析 7第4章设计总结 7参考文献 81.1拔河游戏机控制电路的应用意义拔河游戏是一种体现团队精神的游戏。

拔河游戏机是一种休闲的小游戏,而且能够锻炼玩者的反应速度,使人们的大脑得以锻炼和休息。

拔河游戏机的操作方法简单、易学,而且工作原理也比较容易理解。

1.2拔河游戏机控制电路设计的要求及技术指标设计要求:1 .分析设计要求,明确性能指标。

必须仔细分析课题要求、性能、指标及应用环境等,广开思路,构思出各种总体方案,绘制结构框图。

2 .确定合理的总体方案。

对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较,并考虑器件的来源,敲定可行方案。

3 .设计各单元电路。

总体方案化整为零,分解成若干子系统或单元电路,逐个设计。

4.组成系统。

在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。

设计任务:电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。

由一排LED发光二极管表示拔河的“电子绳”。

由甲乙双方通过按钮开关使发光的二极管向自己一方的终点延伸,当延伸到某方的最后一个LED管时,则该方获胜。

1.比赛开始,由裁判下达比赛命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。

2.“电子绳”至少由16个LED构成,裁判下达比赛命令后,位于“电子绳”中点的LED发亮。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑与数字系统课程设计报告设计题目:拔河游戏机专业班级:计算机科学与技术08-2班学生:程杨杨20082567同组学生:郑恒2008指导教师:拔河游戏机摘要:本实验使我们进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力本课程设计的内容就是采用74LS00、74LS193、4线-16线译码器CC4514、74LS02、CC 4518设计的一个电子拔河游戏机,该游戏机具有整形、计数、译码、控制、复位等功能,设计原理简单易懂,所设计的游戏机的游戏规则和真的拔河比赛规则相类似。

目录一.设计任务与要求 (1)1.设计任务 (1)2.设计要求 (1)二.总体设计方案 (1)1.设计思路 (1)2.电路设计原理 (1)3.两个方案的对比 (6)4. 实验方案论证 (6)5. 实验目的 (6)6. 实验器件 (7)三.单元电路设计与参数计算 (7)1. 整形电路 (7)2. 计数电路 (7)3. 译码电路 (8)4. 胜负显示电路 (9)四.总原理图及元器件清单 (11)1. 总原理图 (11)2. 说明 (14)3. 元器件清单 (14)五.结论与心得 (15)六.参考文献 (16)一.设计任务与要求设计思路给定实验设备和主要元器件按照电路设计的各部分组成一个完整的拔河游戏机。

1.拔河游戏机共有15个发光二级管,开机后只有中间一个发亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地,不断地按动以产生脉冲,谁按的快,亮点向谁方向移动,每按一次,亮点移动一次,移到任一方终端二极管发亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。

2.用七段数码管显示胜者取胜的盘数。

任务与要求:1.设计一个模拟拔河游戏比赛的逻辑电路。

2.电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。

3.比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

4.亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。

5.用七段数码管显示双方的获胜盘数。

6.根据设计要求合理选择方案。

二、总体设计方案:2.1、设计思路1.该设计的主要任务是控制“电子绳”发亮的LED管由中点向速度快的一方移动,而阻止向另一方移动。

用可预制的加/减计数器作主要器件,用计数器的输出状态通过译码器控制LED发亮。

当向计数器输入“加脉冲”时,使其作加运算而发亮的LED向增大的一方移动,相反,当输入“减脉冲”时,发亮的LED向相反的方向移动。

2.当一局比赛结束,即发亮的LED移动某一方的终点时,由点亮该终点灯的信号使电路封锁加/减脉冲信号的作用,既实现电路的自锁,使加/减脉冲无效。

同时,使电路自动加分。

3.控制电路部分应能够控制由振荡器产生的脉冲信号进入计数器的加/减脉冲输入端,其进入方向由参赛双方输入的按键信号决定。

2.2、电路设计原理拔河游戏机用15个电平指示灯排列成一行,开机后只有中间一个点亮,有以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

移到任一方终端指示灯点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线。

最后,显示器显示胜者的盘数。

图1电子拔河游戏机原理框图图2 拔河游戏机的电路框图。

可逆计数器74LS193原始状态输出4位二进制数0000,经译码器输出使中间的一只电平指示灯点亮。

当按动A、B两个按键时,分别产生两个脉冲信号,经整形后分别加到可逆计数器上,可逆计数器输出的代码经译码器译码后驱动电平指示灯点亮并产生位移,当亮点移到任何一方终端后,由于控制电路的作用,使这一状态被锁定,而对输入脉冲不起作用。

如按动复位键,亮点又回到中点位置,比赛又可重新开始。

将双方终端指示灯的正端分别经两个与非门后接到2个十进制计数器CC4518的使能端EN,当任一方取胜,该方终端指示灯点亮,产生1个下降沿使其对应的计数器计数。

这样,计数器的输出即显示了胜者取胜的盘数。

方案一1.编码电路:由双时钟二进制同步可逆计数器74LS193构成,它有2个输入端,4个输出端,能进行加/减计数。

2.整形电路:由与门74LS08和与非门74LS00构成。

因74LS193是可逆计数器,控制加减的CP 脉冲分别加至5脚和4脚,此时当电路要求进行加法计数时,减法输入端CP D必须接高电平;进行减法计数时,加法输入端CP U也必须接高电平,若直接由A、B键产生的脉冲加到5脚或4脚,就有很多时机在进行计数输入时另一计数输入端为低电平,使计数器不能计数,双方按键均失去作用,拔河比赛不能正常进行。

加一整形电路,使A、B二键出来的脉冲经整形后变为一个占空比很大的脉冲,这就减少了进行某一计数时另一计数输入为低电平的可能性,从而使每按一次键都有可能进行有效的计数。

图3为整形电路图。

图33.译码电路:由4线-16线译码器CC4514构成。

译码器的输出Y0~Y15中选出15个接电平指示灯,电平指示灯的负端接地,而正端接译码器;这样,当输出为高电平时电平指示灯点亮。

比赛准备,译码器输入为0000,Y0输出为1,中心处指示灯首先点亮,当编码器进行加法计数时,亮点向右移,进行减法计数时,亮点向左移。

4.控制电路:由或非门74LS02构成,其作用是指示出谁胜谁负。

当亮点移到任何一方的终端时,判该方为胜,此时双方的按键均宣告无效。

将双方终端指示灯的正接至或非门的2个输入端,当获胜一方为“1”,而另一方则为“0”,或非门输出为“0”,再送到74LS193计数器的置数端LD,于是计数器停止计数,处于预置状态,由于计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,输入也就是输出,从而使计数器对脉冲不起作用。

5.胜负显示:由计数器CC4518和译码显示器构成。

将双方终端指示灯正极经与非门输出后分别接到2个CC4518计数器的CP端,CC4518的两组4位BCD码分别接到实验箱中的两组译码显示器的8、4、2、1插孔上。

当一方取胜时,该方终端指示灯发亮,产生一个上升沿,使相应的计数器进行加一计数,于是就得到了双方取胜次数的显示,若1位数不够,则进行2位数的级连。

方案二本课题,可以用两片74LS192代替74LS193,先将两片74LS192连接成100进制的可逆,然后将其改成十六进制的计数器。

用一全加器将两片74LS192的输出信号八位转化成四位,再接给CC4514的输入端。

控制电路也可由异或门74LS86和与非门74LS00构成。

将双方终端指示灯的正接至异或门的2个输入端,当获胜一方为“1”,而另一方则为“0”,异或门输出为“1”,经与非门产生低电平“0”,再送到两74LS192计数器的置数端LD,于是计数器停止计数,处于预置状态,此时,同样将各自计数器数据端D0、D1、D2、D3和输出Q0、Q1、Q2、Q3对应相连,则输入也就是输出,从而使计数器对脉冲不起作用。

电路的其他部分不变。

两个方案的对比:两方案对比,明显方案一优于方案二,方案二要多加一块计数器和一块全加器,这样无疑增加了电路的成本,且方案一的连接较为简单,但当没有74LS193芯片时,我们可以用方案二代替方案一。

所以在方案的选择上一般选择方案一。

2.3设计方案论证:该控制系统由输入、输出和控制器模块构成。

输入模块完成裁判启动命令和两个按钮信号的输入,其逻辑关系由门电路实现;控制器模块完成对输入脉冲信号的统计,由可预置加/减计数器构成,其预置数为0100,作为加/减计数的起点,加/减计数的脉冲源分别取自两个按钮信号,计数器输出状态变量进入输出模块;输出模块完成计数器统计信号的翻译与显示(可由发光二极管完成)并给出一个此次比赛结束信号。

2.4实验目的:1.学习数字电路中基本RS触发器、计数、译码显示等单元电路的综合应用。

2.熟悉拔河游戏机的工作原理。

3.复习数字电路中RS触发器、4线—16线译码器、计数器、译码显示器等部分内容。

4.分析拔河游戏机组成、各部分功能及工作原理。

查出各芯片引脚排列及功能。

2.5实验器件:CC4514 4线—16线译码器CC4518 双同步十进制计数器74LS193 同步二进制可逆计数器74LS00 四2输入与非门74LS08 四2输入与门74LS86 四2输入异或门三、单元电路设计与参数计算1.整形电路:R3Key = B3.计数电路:74LS193是双时钟4位二进制同步可逆计数器。

74LS193的特点是有两个时钟脉冲(计数脉冲)输入端CPU和CPD。

在RD=0、LD=1的条件下,作加计数时,令CPD=1,计数脉冲从CPU输入;作减计数时,令CPU=1,计数脉冲从CPD输入。

此外,74LS193还具有异步清零和异步预置数的功能。

当清零信号RD=1时,不管时钟脉冲的状态如何,计数器的输出将被直接置零;当RD=0,LD=0时,不管时钟脉冲的状态如何,将立即把预置数数据输入端A、B、C、D 的状态置入计数器的QA、QB、QC、QD端,称为异步预置数。

3. 译码电路:U54514BD_5VO 011O 19O 210O 38O 47O 56O 65O 74A 02A 13A 221E L1~E 23A 322O 818O 917O 1020O 1119O 1214O 1313O 1416O 1515VCC5VVCC5VVCC5VVCC5VVCC5VX12.5 VX22.5 V X32.5 V X42.5 V X52.5 V X62.5 V X72.5 V X82.5 V X92.5 V X102.5 V X112.5 V X122.5 V X132.5 V X142.5 V X152.5 V J1Key = AJ2Key = BJ3Key = CJ4Key = D38VCC 37VCC 36VCC 35VCC 3433323130292827262524232221200VCC4.胜负显示电路4518BD_5VCC4518双四位异步BCD 码加法计数器 Cr :异步清零端(复位端),高电平有效。

CP ,EN :计数器工作状态控制与时钟脉冲输入端。

Q D ,Q C ,Q B ,Q A :计数器四位数据输出端。

CC4518逻辑功能如表3-4-2所示。

4518BD_5V(1)将来关C 置高,通过CC4518的清零端R D 使数码管显示为0。

在总电路中,使甲乙双方比赛之前,完成复位的作用。

4518BD_5V(2)将C 置低,使CC4518进入计数功能,当通过开关A 给左边的CC4518加脉冲时,经过它的计数功能,它所接的译码显示器开始显示数据,从0加到9。

相关文档
最新文档