汽车尾灯控制电路设计PPT课件

合集下载

数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计一、设计基本要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1.汽车整车运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁二、设计方案:1.汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。

2.在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

方案原理框图如下图所示开关控制电路显示、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。

时钟脉冲电路如下图1所示:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)三进制计数器功能表此计数器由74LS163芯片主要构成。

下面分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所示:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。

汽车尾灯控制电路的设计

汽车尾灯控制电路的设计

汽车尾灯控制电路的设计一.概述汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的应用。

汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。

设计一个汽车尾灯控制电路,技术指标如下:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);汽车正常运行时指示灯全灭;汽车左转弯时,左侧3个指示灯按左循环顺序点亮;汽车右转弯时,右侧3个指示灯按右循环顺序点亮;临时刹车时所有指示灯同时闪烁。

二.技术指标2.1初始条件直流可调稳压电源一台、万用表一块、面包板一块、元器件若干、剪刀、镊子等必备工具2.2技术要求设计汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

要求实现(1)汽车正常运行时指示灯全灭;(2)右转弯时右侧3个指示灯按右循环顺序点亮;(3)左转弯时左侧3个指示灯按左循环顺序点亮;(4)临时刹车时所有指示灯同时闪烁。

三.方案论证在设计本电路时,一共考虑过两种方案。

这两种方案在于产生001,010,100三种信号的方法不同。

3.1方案一1汽车尾灯控制电路主要由D触发器逻辑电路,左转、右转控制电路,刹车控制电路构成。

首先将脉冲信号CLK提供给D触发器逻辑电路。

用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。

将此信号作为左转、右转的原始信号。

设置左转控制开关和右转控制开关。

通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的3个汽车尾灯上。

这部分电路起到信号分拣的作用。

设置刹车控制开关,将脉冲信号CLK提供给刹车控制电路。

当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号CLK全部闪烁的功能。

最终得到的信号即可输出到发光二极管上,实现所需功能。

方案一原理框图如图(1)所示。

图(1)方案一原理框图3.2方案二汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码电路,显示、驱动电路构成。

数字电路课程设计(汽车尾灯控制)

数字电路课程设计(汽车尾灯控制)

西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模 拟〉,当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺 序点亮<R|f Ri R 2f R i R 2R 3f 全火f R i )时间间隔 0.5S <米用一个 2HZ 地方波 源);在左转弯时,左侧3个指示灯按左循环顺序点亮<L |f L i L 2f LiLL^f 全火f L i );在临时刹车或者检测尾灯是否正常时 ,所有指示灯同时点亮VRR2R 3 L 1L 2L 3 点亮);当汽车后退地时候所有灯循环点亮;当晚上行车地时候汽车灯地最下 一个灯一直点亮.b5E2RGbCAP利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时 所有 灯点亮地次序和是否点亮是不同地,所以用74138译码器对输入地信号进行译码, 从而得到一个低电平输出,再由这个低电平控制一个计数器 74160,计数器输出为 高电平时就点亮不同地尾灯 <这里用发光二极管模拟),从而控制尾灯按要求点 亮.由此得出在每种运行状态下,各指示灯与给定条件间地关系,即逻辑功能表1 所示.汽车尾灯控制电路设计总体框图如图 1所示.piEanqFDPw开关控制汽车运云行状态 右转尾灯 左转尾灯3 S i S> 汽车运行」状态 R i R 2R 3L i L 2L 30 0 0 正常运行灯火 灯火0 0 1 左转弯 灯火按L i L 2L 3顺序循环点亮0 1 0 右转弯 按R1F 2F 3顺序循环点亮灯火0 1 1临时刹车/检测所有尾灯冋时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮1 01晚上行车时R 3,L 3 一直点亮译码电路74138DXDiTa9E3d图1汽车尾灯控制电路设计总体框图设计条件显示驱动电路R i R 2R 3 L i L 2L 3脉冲产生电路555 f*记数电路74160开关控制电路四、设计内容⑤⑥<一)■分步设计:1 •时钟信号源<CLK设计:①.设计说明:由于汽车灯是地点亮是给人地不同地信息及该车将要发生地动作,所以汽车地灯在闪烁地时候不能超过一定地频率,但是频率也不能太小,所以我们在设计地时候是采用地555定时器设计地一个脉冲产生源,占空比约为50%,它产生地频率f约为2HZ然后通过计数器就能控制汽车灯在循环点亮地时候时间间隔约为0.5S这样就能让人很清楚地明白该汽车地动作以采取相应地动作从而避免交通事故地发生.RTCrpUDGiT②.设计计算公式<对应右图):高电平时间:tM 77(R I R2)C低电平时间:t PI=0.7 R2C占空比:D 如R—t ph+tm R i +2R2如右图所示为在multisim 7.0里仿真时地实际连接电路.其中端口3为输出端,使用地时候只要将端口3接到CLK端即可.5PCzVD7HxA③.设计最后图形如右图所示:Rl 30K高电平时间t ph=250-0ms低电平时间tm =213.9ms占空比D=53.8% 频率f=2.158Cl12uF==555 3C2lOnF J8762C5 1C2lOnF 工9 VccR2812K2555定时仿真结果图形如右图所示:分析:根据右图分析结果,与预期结果一直,故设计正确.2.主电路设计:①.设计说明:实现地主要功能是通过开关控制从而实现汽车尾灯地点亮方式•根据表1具体实现如下:当& $ S O =000时候汽车处于正常行驶,尾灯完全处于熄灭状态,所以通过74138译码后为“ 11111110”不做任何处理jLBHrnAILg当S2S1 S0 =001时候汽车左转,所以汽车尾灯地左面3个灯按照L1—L1L2—L1L2L s f全火f L1 .... 顺序循环点亮,具体实现是通过74138对“ 001”译码为“ 11111101 ”然后通过译码后地低电平Y1通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—0001VL 点亮)f 0010<L1L2 点亮)f 001KL1L2L3 点亮)f 0100<异步清零0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. XHAQX74J0X当S2S S0 =010时候汽车右转,所以汽车尾灯右面3个灯按照R1f R1R2fR1R2Rsf全灭f R1……顺序循环点亮,具体实现是通过74138对“010”译码为“ 11111011”然后通过译码后地低电平Y2通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)f 0001<R 点亮)f 0010<R1R2 点亮)f 001KR1R2R3 点亮)f 0100<异步清零)f 0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. LDAYtRyKfE当S2 S i S o =011时候汽车处于刹车状态或者处于检测汽车尾灯是否正常,所以汽车地尾灯全亮,具体实现是通过74138对“ 011”译码为“ 11110111 ”然后通过译码后地低电平Y3通过一个非门直接控制所有地尾灯点亮.Zzz6ZB2Ltk 当9 S1 S0 =100时候汽车处于倒车状态,倒车地时候汽车所有地尾灯按照转弯时候地顺序点亮灯.具体实现是通过74138对“ 100”译码为“11101111” dvzfvkwMI1然后通过译码后地低电平Y4通过一个非门控制另外一片计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—000<R,L1 点亮)—0010<R1 甩山匕点亮)—0011VR1R2R3.L1L2L3 点亮)—0100<异步清零)-0000……循环计数就实现了循环点亮地这个过程•由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. rqyn14ZNXI当S2 s S0 =101时候汽车处于晚上行车状态,此时汽车尾灯地左右两端地最下面一个灯一直点亮即L3.R3 一直处于亮地状态•具体实现是通过74138对“ 101 ”译码为“ 11011111 ”然后通过译码后地低电平Y5通过一个非门直接控制L.3.R3 点亮.EmxvxOtOco②.主电路地仿真:分步仿真:⑴.汽车左转弯地仿真.在multisim 7.0下<以下地仿真都是在这个软件下,并且都是功能仿真)地仿真地电路图和波形.SixE2yXPq5VC:gmT sv:a£27V72□图3•左转弯仿真波形仿真波形分析:如图3所示当S 2 S i S 0 =001时候 w L i 变化顺序为: 000-»001 011 1+ 00叶由于输出为高电平时灯亮,所以尾灯地点亮方式 为:L | — L i L z — L | L 2L 3 T 全火—L i 6ewMyirQFLS2 S i S 0 =000地时候R R 2R i ,L i L ?L 3恒为000,所以所有灯熄灭与实际相符合 所以正确.kavU42VRUs⑵.汽车左右转弯仿真.图5.左右转弯仿真波形波形分析:根据图5有当S2Si S 0=001时候,L 3L ? L i 变化顺序为:000~P0i -011 11L000RR^R i =000由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L i — L 1L 2TL 1L 2L 3T 全灭T L i ……R 3 R?R i 恒为熄灭;y6v3ALoS89S2 S i S 0 =010地时候,R 3 R?R i 变化顺序为:000-001 -011 11L0001t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R i T R i R p T R i R 2 R 3T 全火T R i ........ L i L 2L 3 恒为熄火.M2ub6vSTnP S2 S i S 0 =000地时候,R 3 R 2R 1,L i L ?L 3恒为000,所以所有灯熄灭.经过分析与实际相符合,所以仿真正确.AA 3 /1o- ”L3 阳 Do- 7aL5-3ag7-SLS-3aDCL 1071BT 5t r74L504DXI-DSC74LS04.DIT4C-—",U4AQ1 3 fl - □Jb ■1■YYV-V* V*7EVABC-XESOLRR图4.左右转弯仿真电路⑶.汽车左右转弯和刹车地仿真图6•汽车左右和刹车仿真电路图7.汽车左右和刹车仿真波形根据图7有当S 2 S i S 0 =001时候,匕L 2L i 变化顺序为:11LO00RR2R i =OOO 由于输出为高电平时灯亮 所以尾灯地点亮R 3 R?R i 恒为熄灭;0YujCfmUCw000-^001 -011 11「000匕匕=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:Rjf R] R i R 2 R^f 全火f R i ..... L i L 2L 3 恒为熄火.eUts8ZQVRd S2 S i S 0 =011地时候,R 3 F 2R,L I L 2L 3恒为111,所以所有灯全亮.S2 S i S 0 =000地时候,R 3 F 2R I ,L I L 2L 3恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.Mmmmwnwwwjwmnmmnnjw11*! I ■ ■ ! I I I ■ ! I I I B ! || < ! I ■ i ■ I I I ■ I I I ■! I I ■ ■I II■1 ............... ■ ■ ■ ■ ; ; i]1 1 I | ■ ■ |I I | | || || I | | | IIII II IIIIIi IIIi I I i ' I I I I IIII I i ■ I I Ii I I |l________ I I ________ I L__ n n-丄 | I11Ii I ■> I I I I I > I I—J U ---------r -1 H"I I I I > i I I--------- -------------- 1---------------- M ..... 1 i I LI : LJ : I ___n n n________________1 丨:1 ; ;i :i : ;i :i ;_:i 戸 I i I I i I I I ILi■Il 1 11 I 11j______ 1 I Il^—IJ L-J I-L ! ! ■! . L1> I I I I I I I I I I I I I I I I I I i II _______ I — — 一 — ____________________ _____ _____ _______波形分析:000—901 -011方式为:L i L 2f L I L 2L 3^全火f L iS S i S 0 =010地时候,R 3 R?R i 变化顺序⑷.左右转弯刹车和倒车仿真图8.汽车左右转弯刹车和倒车仿真电路图9.汽车左右转弯刹车和倒车仿真波形波形分析:根据图9有当S 2 S i S o =001时候,aa L i 变化顺序为:000-^001 -011 11LOO0RQR i =OOO 由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L 1 — L 1L 2 — L 1L 2L 3T 全灭—L 1……R 3 R?R 1 恒为熄灭;sQsAEJkW5TS 2 S 1 S 0 =010地时候,R 3 R2R 1变化顺序为:000-001 -011 11L000T 1t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R 1— R 1 R ?— R 1 R ? R 3—全火—R 1 ...... L i L 2L 3 恒为熄火.GMslasNXkA S2 S 1 S 0 =011地时候,R 3 F 2R I ,L 1L 2L 3恒为111,所以所有灯全亮• S2 S 1 S 0 =100地时候,R 3 F 2R I ,L 3 L 2L 1变化顺序都为: 000- 001- 011- 111- 000所以尾灯地点亮方式为:全灭 只1,穴12丄1厂r>*4071ET_5V*XI740^UMo-J暑」Eh"U 弭ir?Bu>-r>K4 mnjwinmwm wmjwinmwmn™• • • .........................................__________---------------------------- L-J__ I I I ii I I I— 、、・ r onli— ----- ——— -------- (I)i i I i I ..........................I 1 ■ —I..... ■ismaapBBm宀i is ■ i i i ii i i i bi r > I > > I > i - « i i i_ _-_,——I-,_=—»―-—■-—>—Ias—1I ...........................I I I I I IIl_l.IL_____I I I I I I —U ~liJtTIlJ -TL^T | 血础 HJ~LU~LJ ~LTIII _______VCCX3□4A—-74LSfl4D*― - 7iLSfl4B*ABC74L5D4口*□5DIT 61D74qSK*^4-38K* ITEiBD-丁帕时uesD4Q71.&D tV*OlHS4a75BD_5V*□SAMT 右 BD.W D9CX-B -0U1仲逊网*-o S VR 1R 2R 3.L 1L 2L 3全灭 TlrRGchYzg9 S i S o =000地时候,R 3 F 2R,L I R L 3恒为000,所以所有灯熄灭• 经过分析与实际相符合,所以仿真正确.⑸.左右转弯刹车倒车和晚上行车仿真....¥D二YL C Y2GLY5Y7pz>74 DSN阳'■TXH>J-Z- LU L“询ISKFG1 VX3I071BT S7□EB4&7£BlD i&VULDf3,5 VXi -o £ VD74QaN DffidD7HPI3*L&7 GV图10.左右转弯刹车倒车和晚上行车仿真电路图J £V0103■ 4 JJdkU7B05^DD74434N=rinnn ! i !j limn nUXfLLlhMLn^图11.左右转弯刹车倒车和晚上行车仿真波形波形分析:根据图9有当S2 S i S0 =001时候,L S L2 L in L变化顺序为:000-^001 -011 111^000T RR2R1=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:L l —L1L2TL1L2L3—全灭T L1……R3R?R1 恒为熄灭;7EqZcWLZNXS2 S1 S0 =010地时候,R3 R?R1变化顺序为:000-001 -011 11L000T1L2L3=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:R〔T R[ R p T R1 R2 R3T全火T R[ ............. L1 L2L3 恒为熄火.lz q7IGf02ES2 S1 S0 =011地时候,R B F2R I,L1L2L3恒为111,所以所有灯全亮.S2 S1 S0 =100地时候,R3 F2R I,L3 L2L1变化顺序都为:000- 001 011- 111- 000所以尾灯地点亮方式为:全灭2,穴1甩丄1厂R 1R 2R3.L 1L 2L 3全灭 zvpgeqJIhk9 S i S o =101 地时候,R 3 R2R 1Z L 2L 1 为 100 所以 R s, L 3 亮• S S 1 S o =000地时候,R s F 2R,L 1L 2L B 恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.综合以上⑴⑵⑶⑷⑸得知此电路地设计是正确地 . (二>■总体设计: 1.设计地最后原理图:五■设计总结本次设计是通过查阅各种资料和我们地讨论地思考做出来地 ,由于学过 multisim7.0,经过思考后觉得由于我们地主电路都是数字电路构成地 ,所以完全可 以由multisim7.0仿真.但是对于输入脉冲 CLK 地频率较小,我们又必须设计一个 频率较小地方波源,通过网上查阅资料可以利用555定时器构成,于是我们又自己 设计一个方波源.但是设计好方波源后我们必须仿真看是否正确 ,于是就用到了 Multisim7.0进行仿真.这次我们设计地功能都是逐一增加地.NrpoJac3v1设计中地优点:基本实现了汽车在运行时候尾灯点亮方式地各种情况 . 设计中地不足:由于在行车地时候都是用开关控制地 ,所以每一个开关应该有一个消除机 械振动地装置,可以利用基本 RS 触发器来实现.在设计中可以再多用一个 74160 来做,从而简化电路图.所以如果在时间允许地条件下可以对这一系列地不足进行 解决,从而是整个系统更加可靠.1nowfTG4KI 六•设计参考资料 《数字电子技术基础》 西安电子科技大学出版社ST03T J 2TT7C!*D71BT_&V*3>-BR谿 ABCD £]匱•- C1 qJL&VIS o7«D3H -*rrPKS -o 2.B VYZ_B V34AUCA o工A丄Dt-Z3d-Ss7 ?¥¥?¥¥?BLffDiD*XFG1•MLS,741f£ltf -71D SET* D5C^zD-71D9F* USBICJ75B 口 &V*□torU 餡H 》7J03M*:H>-ia?6B0_&V*□M::5>—HQ7EED 57*X4Y3_E V图12.总体设计地最后原理图。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

汽车尾灯控制电路的设计.

汽车尾灯控制电路的设计.

电子课程设计——汽车尾灯控制电路的设计院系学院班级电气班姓名学号指导教师目录摘要---------------------------------------------------------------------------------------------21 设计任务与要求------------------------------------------------------------------------------42 方案论证与设计------------------------------------------------------------------------------5 3单元模块电路设计---------------------------------------------------------------------------11 4仿真结果及分析------------------------------------------------------------------------------21 5心得体会--------------------------------------------------------------------------------------23本次课设要求设计一个汽车尾灯的控制电路,用于反映汽车在运行时的状态。

汽车尾部左右两侧各有3个指示灯,当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。

对汽车的四种状态,分别用0,1对其进行二进制编码,正常行驶,向右转弯,向左转弯,紧急刹车分别对应00,01,10,11,故可以用两个开关S,S作为汽车运行信号的输入,控制清零端来控制寄存器;由计数器和数据选择器产生序列信号,送给寄存器的串行输入端完成循环左右移动;由555定时器产生时钟脉冲,经二分频后送给寄存器完成灯的闪烁。

汽车尾灯控制电路电子技术课程设计

汽车尾灯控制电路电子技术课程设计

电子技术课程设计——汽车尾灯控制电路 学 院:电子信息工程学院班 级:自动化051501 姓 名: 学 号:0119 指导教师:一:设计任务与要求设计内容:设计一个汽车尾灯控制电路,使尾灯能随着汽车运动状态的改变 而发生亮灭变化。

要求:1:汽车左转时,左灯按以下顺序变化:亮灭亮 灭亮灭;当 汽车右转时,右尾灯按以下顺序变化:亮灭亮TO 灭亮灭。

2:汽车正常前进时,尾灯全亮。

3:汽车刹车时,尾灯同时按一定频率闪亮。

二:总体框图汽车运行状态电路模块:模拟汽车的运行状态,S1合向上边,S2合向下边 时,汽车右转;S1合向下边,S2合向上边时,汽车左转; SI 、S2同时合向上边时,汽车在刹车状态 ;S1、S2同时合向下边时,汽车正常运行。

分频电路模块:分析来自译码器的信号,判断汽车处于哪种状态,进而将判 断结果输入显示电路。

显示电路:通过74LS194将分频电路分析出的信号通过小灯直观的显示出 来。

三、选择器件 1:74LS138 逻辑符号: 上图为3线-8线译码器74LS138的逻辑符号图, 其有3个附加的控制端G1、 G2A 和G2B 。

当G1=1、G2A+G2B=0时,其附加门GS 才输出高电平(S=1),译码 器处于工作状态。

否则译码被禁止,所有的输出端被封锁在高电平,这三个控制端也叫做“片选”输入端,利用片选的作用可以将多片连接起来以扩展译码左转显示电路 运行状态控制电路右转显示电路 译码电路 分频电路器的功能。

图(9)3线-8线译码器74LS138的逻辑符号图74LS138的内部原理图74LS138的内部结构图3线-8线译码器 74LS138的功能表 输入输出S1 S2+S3 A0 A1 A0 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 0 X 1 1 11 1 1 1 1 X 1 0 0 00 0 0 0 0X X 0 0 0 0 1 1 1 1X X 0 0 1 1 0 0 1 1X X 0 1 0 1 0 1 0 11 1 0 1 1 1 1 1 1 11 1 1 0 1 1 1 1 1 11 1 1 1 0 1 1 1 1 11 1 1 1 1 0 1 1 1 11 1 1 1 1 1 0 1 1 11 1 1 1 1 1 1 0 1 11 1 1 1 1 1 1 1 0 11 1 1 1 1 1 1 1 1 0由3线-8线译码器74LS138的功能表也可以看出,当S1=0时,无论S2+S3等于0还是1,译码器都处于禁止状态,当S1=1,S2+S3=0时,译码器处于工作状态。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

课程设计——汽车尾灯控制电路设计一、实验目的:熟悉常用芯片的使用,掌握时序逻辑电路和组合逻辑电路的分析方法,培养设计能力。

二、设计要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管代替),应使指示灯达到三个要求:a、汽车正常运行时指示灯全灭;b、右转弯时,右侧三个指示灯按右循环顺序点亮;左转弯时左侧3个指示灯按左循环顺序点亮。

c、临时刹车时所有指示灯同时闪烁。

三、设计步骤:(1)列出尾灯与汽车运行状态表由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

图1 汽车尾灯控制电路原理框图(3)设计单元电路三进制计数器电路可由双JK 触发器74LS76构成。

图2 三进制计数器电路图采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。

表3为J-K 触发器的状态表。

由双JK 汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。

当S 1=1,S 2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。

若上述条件不变,而S 1=0,S 2=1时,则74LS138对应的输出端4Y 、5Y 、6Y 依次为0有效,即反相器G 4~G 6的输出端依次为0,故指示灯D 4→D 5→D 6按顺序点亮示意汽车右转弯。

汽车尾灯控制电路

汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路班级学号姓名平时成绩答辩成绩报告成绩总分122039114刘洋122039113合兴国122039125薛强一:设计任务设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当汽车停车时,全部灯灭,当汽车左转弯时,左边的灯依次点亮,右边的转向灯全灭,当汽车右转弯时,右边的车灯依次点亮,左边的车灯全灭。

当司机不慎同时接通左右两个转弯开关时,汽车尾灯全部按一秒的频率闪烁。

当司机按下刹车开关时,汽车尾灯全部点亮。

当汽车刹车的同时有向左转弯的动作,汽车左侧尾灯依次点亮,右侧尾灯全部点亮。

同理汽车刹车且向右转弯,右侧车灯依次点亮,左侧车灯全亮。

二:设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、,所停车等动作时。

车灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到相的一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。

汽车尾灯控制电路设计总体框图。

表一汽车尾灯和汽车运行状态表开关控制汽车运行状态右转尾灯左转尾灯A B CR1R2R3L1L2L30 0 0 停车灯灭灯灭1 0 1 左转弯灯灭按L1L2L3顺序循环点亮0 1 0 右转弯按R1R2R3顺序循环点亮灯灭1 1 1 接通两项转弯所有尾灯同时按1HZ频率点亮0 1 1 向右侧刹车右侧灯依次亮,左侧灯全亮10 1 向左侧刹车左侧灯依次亮,右侧灯全亮1 1 0 急刹车全亮三:实验器件名称规格数量译码器SN74LS138N 1计数器DM74LS163AM 2或门DM74ls32M 20非门DM74ls00N 8与门DM74ls08M 9二极管发光二极管 6方波发生器1HZ 1四:设计内容设计说明:实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计_课程设计 精品

汽车尾灯控制电路设计第1章设计任务及要求1.1设计任务设计一个汽车尾灯控制电路,用六个发光二极管模拟汽车尾灯(左右各三个),用开关J1、J2选择控制汽车正常运行、右转弯、左转弯和刹车时尾灯的情况。

1.2设计要求1、汽车正常运行时尾灯全部熄灭。

2、汽车左转弯时左边的三个发光二极管按顺序循环点亮。

3、汽车右转弯时右边的三个发光二极管按顺序循环点亮。

4、汽车刹车时所有的指示灯随CP脉冲同时闪烁。

设计要求具体见表1-1。

表1-1汽车尾灯显示状态变化表第2章设计方案2.1 汽车尾灯设计要求汽车行驶时有正常行驶、左转、右转和刹车四种情况,设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。

1.汽车正常运行时指示灯全灭2.汽车右转弯时,右侧3个灯按右循环顺序点亮3.汽车左转弯时,左侧3个灯按左循环顺序点亮4.汽车临时刹车时所有指示灯同时闪烁2.2 设计原理及原理框图汽车尾灯控制电路主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

首先,设置两个可控的开关,可产生00、01、10、11四种状态。

开关置为00状态时,汽车处于正常行驶状态;开关置为01状态时,汽车处于右转弯的状态;开关置为10状态时,汽车处于左转弯的状态;开关置为11状态时,汽车处于刹车状态。

三进制计数器可由J-K触发器构成;译码电路可用译码器74LS138和6个与非门构成;显示、驱动电路由6个发光二极管和6个反向器构成。

原理图如2-1所示:图2-1 原理框图第3章电路设计3.1 译码、显示驱动电路译码器是一种具有“翻译”功能的逻辑电路,这种电路能将输入二进制代码的各种状态,按照其原意翻译成对应的输出信号。

有一些译码器设有一个和多个使能控制输入端,又成为片选端,用来控制允许译码或禁止译码。

74LS138是一种译码器,由于74LS138有3个输入端、8个输出端,所以,又称为3线~8线译码器。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

滨江学院课程报告课程电子线路课程设计院系专业电子信息工程班级 2学号姓名任课教师单慧琳汽车尾灯控制电路设计一、设计任务与要求任务设计一个汽车尾灯控制电路,用6个发光二极管分别模拟汽车尾部左右两侧的指示灯,当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在紧急刹车或者检测尾灯是否正常时,所有指示灯同时闪烁。

要求1. 汽车正常运行时尾灯全部熄灭;2. 汽车左转弯时左边的三个发光二极管按左循环顺序点亮;3. 汽车右转弯时右边的三个发光二极管按右循环顺序点亮;4. 汽车刹车时所有的指示灯同时处于闪烁。

二、方案设计与论证(1)列出尾灯与汽车运行状态表表1 尾灯和汽车运行状态关系表(2)设计总体框图由于汽车左转弯时,三个灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(S1、S2、CP、Q1、Q0)的关系,即逻辑功能表如表2所示(表中0表示灯灭状态,1表示灯亮状态)。

表2 汽车尾灯控制逻辑功能表三、电路原理图及元件1.电路原理图及电路介绍图1:电路总体图2.相关芯片介绍三进制计数器电路可由双JK触发器74LS76构成。

图2:三进制计数器电路图采用CP 下降沿触发的JK 触发器,当CP 由1跳变为0时,触发器的输出依据J 和K 的状态而定。

表3为J-K 触发器的状态表。

表3 J-K 触发器的状态表由双JK 组成的三进制计数器的逻辑功能表如表2。

汽车尾灯电路如图3所示,其显示驱动电路由6个发光二极管和6个反相器构成,译码电路由3-8译码器74LS138和6个与非门构成。

74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1Q 0是三进制计数器的输出端。

当S 1=1,S 2=0时,使能信号A =G =1,计数器的状态为00,01,10时,74LS138对应的输出端0Y 、1Y 、2Y 依次为0有效(3Y 、4Y 、5Y 信号为“1”无效),即反相器G 1~G 3的输出也依次为0,故指示灯D 3→D 2→D 1按顺序点亮示意汽车左转弯。

汽车尾灯控制电路 (2)

汽车尾灯控制电路 (2)

山西大同大学煤炭工程学院课程名称:数字电子技术题目:汽车尾灯控制电路指导教师:姓名:学号:设计目录1.总体设计思路及设计要求……………………………………………………3页2.各个模块的设计………………………………………………………………4页2.1产生000—100—110—111—000的时序电路模块……………………………………4页2.2当电路输出不是周期性亮灭时而是时钟脉冲频率闪亮………………………………5页2.3清零信号模块…………………………………………………………………6页3.模块的连接……………………………………………………………………7页4.最终设计电路…………………………………………………………………8页5.电路功能演示…………………………………………………………………9页5.1停车时,6只尾灯按时钟脉冲频率闪亮……………………………………………9页5.2汽车在行驶,无制动、无左右转向………………………………………………11页5.3汽车在行驶,无制动、无左转有右转……………………………………………12页5.4汽车在行驶,无制动、无右转有左转……………………………………………14页5.5汽车在行驶,无制动、右转左转…………………………………………………16页5.6汽车在行驶,有制动、向左转…………………………………………………18页5.7汽车在行驶,有制动、向右转…………………………………………………20页5.8汽车在行驶,有制动、右转左转均不转……………………………………………22页5.9汽车在行驶,有制动、向左右转…………………………………………………23页6.总结与设计调试体会………………………………………………………24页一总体设计思路(含电路原理框图):1.1电路设计原理框图时序电路(实现000-100-110-111-00组合电路(实现尾灯均亮均灭情况)由时钟信号提供时钟脉冲频率闪亮实现频闪利用芯片74151、74153及必要的门电路选择信号输出控制电路的成功实现信号输入具体的电路要求及功能表如下:a)输入变量定义:脚踏制动器信号名称:U;U为0时无制动,U为1时制动停车信号名称:S;S为0时停车,S为1时汽车工作左转弯控制信号名称:L;L为1时向左转右转弯控制信号名称:R;R为1时向右转b)控制电路工作表:S U R L汽车尾灯显示的状态0X X X6只尾灯按时钟脉冲频率闪亮1000均灭1001左侧3只灯按000—100—110—111—000闪,右侧均灭1010右侧3只灯按000—100—110—111—000闪,左侧均灭1011两侧6只灯均按000—100—110—111—000闪1100两侧6只灯均亮1101左侧3只灯按000—100—110—111—000闪,右侧均灭1110右侧3只灯按000—100—110—111—000闪,左侧均灭1111两侧6只灯均亮二各个模块的设计2.1产生000—100—110—111—000的时序电路模块:状态转换表Q0Q1Q2Q0‘Q1‘Q2‘000100100110110111111000利用卡诺图化简方法得到驱动方程为:J0=1K0=Q2、J1=Q0K1=Q2、J2=Q1K2=1则电路图2.2当电路输出不是周期性亮灭时而是时钟脉冲频率闪亮均亮或均灭电路根据电路要求得到功能表:S U R L输出信号0X X X时钟脉冲频率闪亮1000010010101001011011001110101110011111经过分析可以得到满足要求的电路图如下图所示:2.3清零信号模块由于每次控制开关的输入信号发生改变时,如果6只灯的状态不及时清零则输出与要求不符。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

开关控制电路通过控制开关S0和S1的闭合与断开来实现汽车正常行驶、 左转弯、右转弯三种状态,开关S2控制刹车。开关功能表如表二:
表 1开关功能表
开关控制
运行状态
左尾灯
右尾灯
S0
S1
S2
DO、D1、D2
D4、D5、D6、
0
0
1
正常运行
灯灭
灯灭
1
0
1
左转弯
D2、D1、D0按顺序点亮
灯灭
0
1
1
右转弯
灯灭
四、确定输入和输出变量
计数器 右转灯 左转灯
五、确定输入状态
• (1)左转向灯,对应的开关给低电平。 • (2)右转向灯,对应的开关给低电平。
六、整体设计框图
(A)右转弯规律图
(B)左转弯规律图
(C)急刹车显示规律图 图2.2.:2 汽车尾灯状态图
由于此控制电路对秒脉冲的精度要求不高,所以选择555构 成的多些振荡器。电路连接简便并且能达到我们的要求如图 3.1.1:
八、方案论证
• 汽车尾灯控制器常见电路形式有基于继承门电路构成的电路系统和基 于单片机系统构建的控制电路。
• 方案一:单片机成本较低,其外围电路的元器件价格也不高,但系统 软硬件设计相对比较复杂,运用单片机控制方案,该系统硬件设计包 含扩展电路部分和系统配置电路部分,软件设计又要注意算法的合理 选择和程序的优化设计,所以该系统电路软硬件设计工作量都相对较 大。
: INPUT = GND;
qd
: OUTPUT;
qc
: OUTPUT;
qb
: OUTPUT;
qa
: OUTPUT;
rco
: OUTPUT;
)
VARIABLE IF (FAMILY_FLEX() == 1) GENERATE sub : f74161; ELSE GENERATE sub : p74161;
SUBDESIGN 74161 (
clk
: INPUT = GND;
ldn
: INPUT = VCC;
clrn
: INPUT = VCC;
enp
: INPUT = VCC;
ent
: INPUT = VCC;
d
: INPUT = GND;
c
: INPUT = GND;

: INPUT = GND;
a
END GENERATE;
BEGIN
IF (USED(clk)) GENERATE sub.clk = clk;
END GENERATE; IF (USED(ldn)) GENERATE
sub.ldn = ldn; END GENERATE; IF (USED(clrn)) GENERATE
sub.clrn = clrn; END GENERATE; IF (USED(enp)) GENERATE
= enp; END GENERATE; IF (USED(ent)) GENERATE
= ent; END GENERATE; IF (USED(d)) GENERATE
• 设计一个能适应现代汽车智能化发展要求的汽车尾灯控制 电路。改善以前的汽车尾灯控制系统,降低汽车尾灯控制 器的生产成本。其二、学好VHDL这门硬件描述语言,加 深对VHDL语言知识的理解和掌握,提高学习能力和创新 能力,使自己适应不断发展的21世纪。

设计任务 三、设计任务及要求
设计一个汽车尾灯控制电路。要求提供总体设计方案,画出各单元及总体 电路图,计算元件参数,选择芯片,安装并调试电路。写出设计总结报告。 设计要求 (1)用六个发光二极管模拟六个汽车尾灯(汽车尾部左右各三个), 用三个开关作为汽车转向的控制信号。三个开关分别作为左转向灯、 右转向灯、故障灯。 (2)当汽车正常直行时,六个汽车尾灯全灭; (3)当汽车向右转向时,汽车右面三个尾灯从左至右顺序亮灭; (4)当汽车向左转向时,汽车左面三个尾灯从右至左顺序亮灭; 提示:利用基本组合逻辑电路设计思路,根据任务列写逻辑真值表,化简输 出逻辑表达式。最后利用基本逻辑门电路实现之。也可以利用单片机来实现。
题目:汽车尾灯控制电路设计
一、大作业摘要
概述要解决什么问题,你是用啥方法解决这个问 题的,达到了什么结果及存在的问题(200字)
• 设计任务 • 设计一个汽车尾灯控制电路。要求提
供总体设计方案,画出各单元及总体 电路图,计算 • 元件参数,选择芯片,安装并调试电 路。写出设计总结报告。
二、设计的背景、目的和意义
RETURNS (qd, qc, qb, qa, rco); FUNCTION f74161 (clk, ldn, clrn, enp, ent, d, c, b, a)
RETURNS (qd, qc, qb, qa, rco);
PARAMETERS (
DEVICE_FAMILY ); INCLUDE "aglobal.inc";
D4、D5、D6按顺序点亮
0
0
0
刹车
灯亮
灯亮
七、输入和输出变量对应部件说明
计数器 右转灯 左转灯
译码电路与指示灯
因为需要把计数器的三个状态转化成六个灯的亮灭情况,所以我们选择3-8线制译码器。该电 路的功能是:在模拟控制电路输出和三进制计数器状态下,提供6个尾灯控制信号,使相应指示 灯执行亮灭的指令,我们选择74HC138来执行译码功能。D端输入为1时,经过非门输入进G2B端 为0,G2B端是74HC138的使能端,只有输入为0的时候138正常工作,输出Y0—Y1中只有一个为 0,经过与非门输入为1,则只有该路对应的灯亮。D输入为0的时候,使能端转换为1,则74138 所有输出端为0,通过非门输出转换为1,即所有灯都亮。逻辑电路如图3.3.1:
• 方案二:继承门电路系统稳定性高,结果再现性好,系统分析与设计 相对较为容易。其电路实现过程较为简单,必须根据逻辑代数规则对 系统进行设计,但是此汽车尾灯控制电路逻辑变量简单,状态少,因 此电路结构简单,所用芯片少,成本也不高。
• 综上所述选择方案二。
十一、状态机设计(状态编码)
TITLE "Top-level file for the 74161 macrofunction. Chooses a device-family optimized implementation."; FUNCTION p74161 (clk, ldn, clrn, enp, ent, d, c, b, a)
相关文档
最新文档