100进制同步计数器设计
用74160集成计数器构成任意进制计数器的电路设计
用74160集成计数器构成任意进制计数器的电路设计单嵛琼;单长吉【摘要】74160 is die for 10 synchronous counter addition,take advantage of its reset and the number of pre-set function can make mould for any number of counters.So as to solve the problem that we can't buy any kind of counter.%74160是模为10的同步加法计数器,利用它的清零和预置数功能可以构成模为任意数的计数器,从而解决了我们需要计数器但市场上又买不到这种计数器的困难。
【期刊名称】《大学物理实验》【年(卷),期】2016(029)003【总页数】3页(P15-17)【关键词】计数器;清零;置数;有效状态【作者】单嵛琼;单长吉【作者单位】昭通学院,云南昭通 657000;昭通学院,云南昭通 657000【正文语种】中文【中图分类】O453市场上能买到的集成计数器芯片一般为4位二进制计数器和十进制计数器,如果需要其它进制计数器,可用现有的4位二进制计数器和十进制计数器芯片进行设计。
74160是8421BCD码同步加法十进制计数器,可以用它来构成任意进制计数器。
74160是8421BCD码同步加法计数器[1-2],图1是它的逻辑符号:是清零端,端是预置数端,EP、ET是两个使能端,CP端是时钟脉冲端,RCO是进位输出端,D3、D2、D1、D0是四个预置数据输入端,Q3、Q2、Q1、Q0是四个数据输出端。
其中进位输出端的逻辑表达式为[3]:RCO=ET·Q3·Q1。
由表1可知,74160具有异步清零、同步置数、计数和保持的功能。
用74160构成模小于10的计数器的计数器时通常有两种方法:异步清零法和同步置数法[4].文章中选取用74160构成五进制计数器来说明这两种方法的应用。
数字电路与逻辑设计 第6章计数器11
74190: 4位十进制同步加/减计数器。 74191: 4位二进制同步加/减计数器。
1.
Q0 QA IJA &
集成同步计数器74161
Q1 Q2 Q3 CO QB R IKA & ≥1 IJB & ≥1 & & & & R IKB & QC IJC & ≥1 & & R IKC & QD IJD & ≥1 & R IKD & &
∧
CR LD D3 D2 D1 D 0 1
CR LD D3 D2 D1 D 0 1
∧
CTT CTP CP
1 计数脉冲
由前面例题分析中可以发现,用反馈置零法设计 计数器存在一个普遍规律:
例3:用74161计数器实现模12计数。 Q3Q 2 CR
1 CP
CTP D3D2D1D0 CO CTT
1 CP
例2:分析图示电路的功能
1
CTP CTT D3 D2 D1 D0 CO
74161
Q3 Q2 Q1 Q0
LD
CP
CR
&
2、采用清零法设计任意模值计数器设计步骤
☆
确定有效状态
☆ 找出反馈清零状态 产生反馈清零信号 ☆ 画出计数器的逻辑电路
反馈清0法的基本思想是: 计数器从全0状态S0开始计数,计满 M个状态产生清0信号,使计数器恢复 到初态S0,然后再重复前面过程。
&
&
&
&
1
1
1
&
LD
D0
CR
D1
CP
D2
数字电子技术课程设计--电子秒表的设计
数字电子技术课程设计--电子秒表的设计数字电子技术课程设计课程设计题目:电子秒表的设计目录摘要 (2)1引言 (3)1.1设计目的 (3)1.2技术要求 (3)1.2.1基本要求 (3)1.2.2提高要求 (3)1.3设计内容 (3)1.4工作原理 (3)2设计框图 (4)3各个部分功能简介 (5)3.1按键去抖电路 (5)3.2控制器电路 (6)3.3时钟产生电路 (8)3.4计时电路 (9)3.5显示译码电路 (10)3.6 50000分频电路 (11)4硬件仿真 (13)4.1顶层逻辑图 (13)4.2LB0介绍 (14)4.3硬件仿真 (14)5课程设计的心得体会 (15)参考文献 (16)附录 (17)摘要本文以数字电子技术作为理论基础、以quartusⅡ软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。
该电子秒表可以准确显示时间,范围为00.00—99.99。
并且可以手动调节时间,随时启动、清零、暂停记录时间等。
操作起来简易、方便。
首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。
其次,在总体电路图组装完成以后,用quartusⅡ软件对设计好的电路进行了仿真与调试,并逐一解决设计过程中出现的一系列问题。
最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。
并利用学院的LB0开发板进行硬件仿真。
关键词:电子秒表计数器分频quartusⅡ、1引言1.1设计目的1)掌握同步计数器74160,74161的使用方法,并理解其工作原理。
2)掌握用74160,74161进行计数器、分频器的设计方法。
3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。
4)掌握电子秒表的设计方法。
5)掌握在EDA系统软件MAX + plus Ⅱ环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、管脚锁定和芯片下载的方法。
100进制计数器
100进制计数器
首先要制作100进制计数器,需要用到2个74LS190N计数器,两个计数器的范围都是从0~99,然而74LS190N自身就是十进制可逆计数器,所以只需要将两个74LS190N芯片级联就可以达到100进制计数器的目的了。
PL是低电平有效地,预置数允许端,PL=0,时,预置数输入端P0~P3上的数据被置入计数器。
MR是有效地复位端,MR=1时,计数器被复位,所有输出端都为低电平。
CPU是加数计时,CPD是减数计时,当CPU=CPD时,计数器处于保持状态,不计数。
TCU是进位输出端,当加数计时达到最大计数值时,即达到9时,TCU在后半个周期(CPU=0)内变成低电平,其他情况均为高电平。
TCU借位为输出端,当减数计时器计时到零时,TCU在时钟的后半个周期(CPD=0)内变成低电平,其他情况均是高电平。
为实现100进制的计数可把第一芯片的TCU,TCD分别接后一级的CPU,CPD就可以级联使用,这就达到了0~99的技术过程了。
电路仿真图:。
74192实现100进制计数器实验原理
74192是一种常见的集成电路,通常用于实现二进制或十进制的计数器。
虽然74192本身是二进制的计数器,但我们可以通过逻辑设计来将其转换为100进制计数器。
以下是实现100进制计数器的基本原理:
1. 使用四个74192:由于74192是四位计数器,我们需要使用四个74192芯片来构建100进制计数器。
每个74192芯片负责计数器的一个数位。
2. 设置计数范围:根据100进制,我们需要设置计数范围为0-99。
这意味着每个数位需要以100为基数进行计数。
因此,我们需要将每个74192的计数范围设置为0-99。
3. 连接输出:将四个74192芯片的计数输出相连,以形成完整的100进制计数器。
低位计数器的进位输出(Carry Out)将连接到高位计数器的时钟输入(Clock In),以实现进位。
4. 重置和启动:在开始计数之前,需要将所有74192芯片的复位输入(Reset)设置为逻辑高电平,以将计数器复位为0。
然后,通过将任意一个74192的时钟输入(Clock In)设置为逻辑高电平来启动计数器。
5. 结果显示:将每个74192芯片的计数输出连接到适当的显示设备,如数码管或LED灯,以显示计数器的当前值。
通过这种方式,我们可以利用多个74192芯片实现一个100进制计数器。
该实验需要适当的电路设计和连接,以及对集成电路的正确使用和配置。
EDA实验100进制计数器
录的路径字符串中不能包含中文字符。
2007.12.2
(4)检查错误并编译
为了确保输入的逻辑正确,可以保存文件并检查 错误。步骤如下:
a. 选择 FileProjectSave & compile 选项 ,这 将保存上面编辑的文件,并检查输入程序中的错
2007.12.2
b. 选择 FileNew 菜单,或单击 ,
2007.12.2
c. 选中 Text Editor file (文本设计文件)单选按 钮。
•d.图单形击编辑ok输按入钮。弹出 •Te符xt号E编dit辑or输窗入口。 • 文本编辑输入 • 波形编辑输入
(2)输入verilog HDL程序
文件名称和文本文 件一致,扩展名为 “.scf” ,单击OK 保存激励信号编辑 结果。
2007.12.2
(2)电路仿真
电路仿真属于设计校验,包括功能仿真(前仿真) 和时序仿真(后仿真)。本设计采用功能仿真。
a. 选择MAX+plusⅡSimulator选项,弹出仿真器窗口 。
b. 单击 Start 开始仿真 。
选择初始电平为“0”,时钟周期为“20 ns”,倍数为“1” (时钟周期倍数只能为整数倍),单击 OK 确认。
2007.12.2
e. 为输入端口添加信号
选中b输入端 然后点击窗口左侧的时钟信号源图标 出现如图所示的对话框
选择初始电平为“0”,时钟周期为“20 ns”,倍数为“2” (时钟周期倍数只能为整数倍),单击 OK 确认。
2007.12.2
以计数序列覆盖所选的单个组的全部或部分波形
e. 为输入端口添加信号
数字秒表课程设计
………………….………………………………..………………..……..……………………………………20
鸣谢…………………….…………………………………………………..…………………………………………………….21
收获和体..…………………………………………………………………………………………………………………….21
555定时器的功能
555定时器组成及工作原理如下:
图2-1-5 555定时器电路结构图
如图2-1-5是555定时器电路结构的简化原理图和引脚标识。由电路原理图可见,该集成电路由下述几部分组成:串联电阻分压电路、电压比较器C1和C2、基本RS触发器、放电三极管T以及缓冲器G组成。(注释:编号555的内涵是因该集成电路的基准电压是由三个5kΩ电阻分压组成)
本次实验所做数字式秒表由信号发生系统和计时系统构成。由于需要比较稳定的信号,所以信号发生系统555定时器与电阻和电容组成的多谐振荡器构成,信号频率为100HZ。计时系统由计数器、译码器、显示器组成。计数器由74 –160构成,由十进制计数器组成了一百进制和六十进制计数器,采用异步进位方式。译码器由7447构成,为4-7译码。显示器由数码管构成。具体过程为:由晶体震荡器产生100HZ脉冲信号,传入计数ห้องสมุดไป่ตู้统,先进入计数器,然后传入译码器,将4位信号转化为数码管可显示的7位信号,结果以“99时“分”、“秒”、”依次在数码管显示出来。该秒表最大计时值为99时59分59秒9/10,“分”和“99时”为一百进制计数器组成,“秒”为六十进制计数器组成。
由图1中可知,若5脚悬空,当Ui6<2Ucc/3,Ui2<Ucc/3时,比较器C1、C2分别输出高电平和低电平,即R=1, S=0,使基本RS触发器置1,放电三极管截止,输出Uo=1。
数字逻辑——精选推荐
数字逻辑3)按计数增减分:加法计数器,减法计数器,加/减法计数器.7.3.1 异步计数器⼀,异步⼆进制计数器1,异步⼆进制加法计数器分析图7.3.1 由JK触发器组成的4位异步⼆进制加法计数器.分析⽅法:由逻辑图到波形图(所有JK触发器均构成为T/ 触发器的形式,且后⼀级触发器的时钟脉冲是前⼀级触发器的输出Q),再由波形图到状态表,进⽽分析出其逻辑功能.2,异步⼆进制减法计数器减法运算规则:0000-1时,可视为(1)0000-1=1111;1111-1=1110,其余类推.注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采⽤同步清零⽅式.(2)CT74LS161的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并⾏置数.③==1且CPT=CPP=1时,按照4位⾃然⼆进制码进⾏同步⼆进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.4,反馈置数法获得N进制计数器⽅法如下:·写出状态SN-1的⼆进制代码.·求归零逻辑,即求置数控制端的逻辑表达式.·画连线图.(集成计数器中,清零,置数均采⽤同步⽅式的有74LS163;均采⽤异步⽅式的有74LS193,74LS197,74LS192;清零采⽤异步⽅式,置数采⽤同步⽅式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)试⽤CT74LS161构成模⼩于16的N进制计数器5,同步⼆进制加/减计数器⼆,同步⼗进制加法计数器8421BCD码同步⼗进制加法计数器电路分析三,集成同计数器1,集成⼗进制同步加法计数器CT74LS160(1)CT74LS160的引脚排列和逻辑功能⽰意图图7.3.3 CT74LS160的引脚排列图和逻辑功能⽰意图(2)CT74LS160的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并⾏置数.③==1且CPT=CPP=1时,按照BCD码进⾏同步⼗进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.2.集成⼗进制同步加/减计数器CT74LS190其逻辑功能⽰意图如教材图7.3.15所⽰.功能如教材表7.3.10所⽰.集成计数器⼩结:集成⼗进制同步加法计数器74160,74162的引脚排列图,逻辑功能⽰意图与74161,74163相同,不同的是,74160和74162是⼗进制同步加法计数器,⽽74161和74163是4位⼆进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采⽤的是异步清零⽅式,⽽74162采⽤的是同步清零⽅式.74190是单时钟集成⼗进制同步可逆计数器,其引脚排列图和逻辑功能⽰意图与74191相同.74192是双时钟集成⼗进制同步可逆计数器,其引脚排列图和逻辑功能⽰意图与74193相同.7.3.3 利⽤计数器的级联获得⼤容量N进制计数器计数器的级联是将多个计数器串接起来,以获得计数容量更⼤的N进制计数器.1,异步计数器⼀般没有专门的进位信号输出端,通常可以⽤本级的⾼位输出信号驱动下⼀级计数器计数,即采⽤串⾏进位⽅式来扩展容量.举例:74LS290(1)100进制计数器(2)64进制计数器2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下⼀级计数器计数.同步计数器级联的⽅式有两种,⼀种级间采⽤串⾏进位⽅式,即异步⽅式,这种⽅式是将低位计数器的进位输出直接作为⾼位计数器的时钟脉冲,异步⽅式的速度较慢.另⼀种级间采⽤并⾏进位⽅式,即同步⽅式,这种⽅式⼀般是把各计数器的CP端连在⼀起接统⼀的时钟脉冲,⽽低位计数器的进位输出送⾼位计数器的计数控制端.举例:74161(1)60进制(2)12位⼆进制计数器(慢速计数⽅式)12位⼆进制计数器(快速计数⽅式)7.4 寄存器和移位寄存器寄存器是由具有存储功能的触发器组合起来构成的.⼀个触发器可以存储1位⼆进制代码,存放n位⼆进制代码的寄存器,需⽤n个触发器来构成.按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两⼤类.基本寄存器只能并⾏送⼊数据,需要时也只能并⾏输出.移位寄存器中的数据可以在移位脉冲作⽤下依次逐位右移或左移,数据既可以并⾏输⼊,并⾏输出,也可以串⾏输⼊,串⾏输出,还可以并⾏输⼊,串⾏输出,串⾏输⼊,并⾏输出,⼗分灵活,⽤途也很⼴.7.4.1 基本寄存器概念:在数字电路中,⽤来存放⼆进制数据或代码的电路称为寄存器.1,单拍⼯作⽅式基本寄存器⽆论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并⾏数据输⼊端的数据D0~D3,就⽴即被送⼊进寄存器中,即有:2.双拍⼯作⽅式基本寄存器(1)清零.CR=0,异步清零.即有:(2)送数.CR=1时,CP上升沿送数.即有:(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.7.4.2 移位寄存器1.单向移位寄存器四位右移寄存器:时钟⽅程:驱动⽅程:状态⽅程:右移位寄存器的状态表:输⼊现态次态Di CP1 ↑1 ↑1 ↑1 ↑0 0 0 01 0 0 01 1 0 01 1 1 01 0 0 01 1 0 01 1 1 01 1 1 1连续输⼊4个1单向移位寄存器具有以下主要特点:单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.n位单向移位寄存器可以寄存n位⼆进制代码.n个CP脉冲即可完成串⾏输⼊⼯作,此后可从Q0~Qn-1端获得并⾏的n位⼆进制数码,再⽤n个CP脉冲⼜可实现串⾏输出操作.若串⾏输⼊端状态为0,则n个CP脉冲后,寄存器便被清零.2.双向移位寄存器M=0时右移M=1时左移3.集成双向移位寄存器74LS194CT74LS194的引脚排列图和逻辑功能⽰意图:CT74LS194的功能表:⼯作状态0 × × ×1 0 0 ×1 1 0 ↑1 1 1 ×异步清零保持右移左移并⾏输⼊7.4.3 移位寄存器的应⽤⼀,环形计数器1,环形计数器是将单向移位寄存器的串⾏输⼊端和串⾏输出端相连, 构成⼀个闭合的环.结构特点:,即将FFn-1的输出Qn-1接到FF0的输⼊端D0.⼯作原理:根据起始状态设置的不同,在输⼊计数脉冲CP的作⽤下,环形计数器的有效状态可以循环移位⼀个1,也可以循环移位⼀个0.即当连续输⼊CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全⼀致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n2,能⾃启动的4位环形计数器状态图:由74LS194构成的能⾃启动的4位环形计数器时序图⼆,扭环形计数器1,扭环形计数器是将单向移位寄存器的串⾏输⼊端和串⾏反相输出端相连,构成⼀个闭合的环.实现扭环形计数器时,不必设置初态.扭环形计数器的进制数N与移位寄存器内的触发器个数n满⾜N=2n的关系结构特点为:,即将FFn-1的输出接到FF0的输⼊端D0.状态图:2,能⾃启动的4位扭环形计数器7.4.4 顺序脉冲发⽣器在数字电路中,能按⼀定时间,⼀定顺序轮流输出脉冲波形的电路称为顺序脉冲发⽣器.顺序脉冲发⽣器也称脉冲分配器或节拍脉冲发⽣器,⼀般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输⼊端送⼊,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按⼀定时间,⼀定顺序轮流为1,或者轮流为0.前⾯介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发⽣器.⼀,计数器型顺序脉冲发⽣器计数器型顺序脉冲发⽣器⼀般⽤按⾃然态序计数的⼆进制计数器和译码器构成.举例:⽤集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发⽣器.⼆,移位型顺序脉冲发⽣器◎移位型顺序脉冲发⽣器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发⽣器.◎时序图:◎由CT74LS194构成的顺序脉冲发⽣器见教材P233的图7.4.6和图7.4.77.5 同步时序电路的设计(略)7.6 数字系统⼀般故障的检查和排除(略)本章⼩结计数器是⼀种应⽤⼗分⼴泛的时序电路,除⽤于计数,分频外,还⼴泛⽤于数字测量,运算和控制,从⼩型数字仪表,到⼤型数字电⼦计算机,⼏乎⽆所不在,是任何现代数字系统中不可缺少的组成部分.计数器可利⽤触发器和门电路构成.但在实际⼯作中,主要是利⽤集成计数器来构成.在⽤集成计数器构成N进制计数器时,需要利⽤清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.寄存器是⽤来存放⼆进制数据或代码的电路,是⼀种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取⽤.寄存器分为基本寄存器和移位寄存器两⼤类.基本寄存器的数据只能并⾏输⼊,并⾏输出.移位寄存器中的数据可以在移位脉冲作⽤下依次逐位右移或左移,数据可以并⾏输⼊,并⾏输出,串⾏输⼊,串⾏输出,并⾏输⼊,串⾏输出,串⾏输⼊,并⾏输出.寄存器的应⽤很⼴,特别是移位寄存器,不仅可将串⾏数码转换成并⾏数码,或将并⾏数码转换成串⾏数码,还可以很⽅便地构成移位寄存器型计数器和顺序脉冲发⽣器等电路.在数控装置和数字计算机中,往往需要机器按照⼈们事先规定的顺序进⾏运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,⽽且要求这些控制信号在时间上有⼀定的先后顺序.通常采取的⽅法是,⽤⼀个顺序脉冲发⽣器来产⽣时间上有先后顺序的脉冲,以控制系统各部分协调地⼯作.顺序脉冲发⽣器分计数型和移位型两类.计数型顺序脉冲发⽣器状态利⽤率⾼,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产⽣竞争冒险,需要采取措施消除.移位型顺序脉冲发⽣器没有竞争冒险问题,但状态利⽤率低.由JK触发器组成的4位异步⼆进制减法计数器的⼯作情况分析略.⼆,异步⼗进制加法计数器由JK触发器组成的异步⼗进制加法计数器的由来:在4位异步⼆进制加法计数器的基础上经过适当修改获得.有效状态:0000——1001⼗个状态;⽆效状态:1010~1111六个状态.三,集成异步计数器CT74LS290为了达到多功能的⽬的,中规模异步计数器往往采⽤组合式的结构,即由两个独⽴的计数来构成整个的计数器芯⽚.如:74LS90(290):由模2和模5的计数器组成;74LS92 :由模2和模6的计数器组成;74LS93 :由模2和模8的计数器组成.1.CT74LS290的情况如下.(1)电路结构框图和逻辑功能⽰意图(2)逻辑功能如下表7.3.1所⽰.注:5421码⼗进制计数时,从⾼位到低位的输出为.2,利⽤反馈归零法获得N(任意正整数)进制计数器⽅法如下:(1)写出状态SN的⼆进制代码.(2)求归零逻辑(写出反馈归零函数),即求异步清零端(或置数控制端)信号的逻辑表达式.(3)画连线图.举例:试⽤CT74LS290构成模⼩于⼗的N进制计数器.CT74LS290则具有异步清零和异步置9功能.讲解教材P215的[例7.3.1].注:CT74LS90的功能与CT74LS290基本相同.7.3.2 同步计数器⼀,同步⼆进制计数器1.同步⼆进制加法计数器2,同步⼆进制减法计数器3,集成同步⼆进制计数器CT74LS161(1)CT74LS161的引脚排列和逻辑功能⽰意图注:74LS163的引脚排列和74LS161相同,不同之处是74LS163采⽤同步清零⽅式.(2)CT74LS161的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并⾏置数.③==1且CPT=CPP=1时,按照4位⾃然⼆进制码进⾏同步⼆进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.4,反馈置数法获得N进制计数器⽅法如下:·写出状态SN-1的⼆进制代码.·求归零逻辑,即求置数控制端的逻辑表达式.·画连线图.(集成计数器中,清零,置数均采⽤同步⽅式的有74LS163;均采⽤异步⽅式的有74LS193,74LS197,74LS192;清零采⽤异步⽅式,置数采⽤同步⽅式的有74LS161,74LS160;有的只具有异步清零功能,如CC4520,74LS190,74LS191;74LS90则具有异步清零和异步置9功能.等等)试⽤CT74LS161构成模⼩于16的N进制计数器5,同步⼆进制加/减计数器⼆,同步⼗进制加法计数器8421BCD码同步⼗进制加法计数器电路分析三,集成同计数器1,集成⼗进制同步加法计数器CT74LS160(1)CT74LS160的引脚排列和逻辑功能⽰意图图7.3.3 CT74LS160的引脚排列图和逻辑功能⽰意图(2)CT74LS160的逻辑功能①=0时异步清零.C0=0②=1,=0时同步并⾏置数.③==1且CPT=CPP=1时,按照BCD码进⾏同步⼗进制计数.④==1且CPT·CPP=0时,计数器状态保持不变.2.集成⼗进制同步加/减计数器CT74LS190其逻辑功能⽰意图如教材图7.3.15所⽰.功能如教材表7.3.10所⽰.集成计数器⼩结:集成⼗进制同步加法计数器74160,74162的引脚排列图,逻辑功能⽰意图与74161,74163相同,不同的是,74160和74162是⼗进制同步加法计数器,⽽74161和74163是4位⼆进制(16进制)同步加法计数器.此外,74160和74162的区别是,74160采⽤的是异步清零⽅式,⽽74162采⽤的是同步清零⽅式.74190是单时钟集成⼗进制同步可逆计数器,其引脚排列图和逻辑功能⽰意图与74191相同.74192是双时钟集成⼗进制同步可逆计数器,其引脚排列图和逻辑功能⽰意图与74193相同.7.3.3 利⽤计数器的级联获得⼤容量N进制计数器计数器的级联是将多个计数器串接起来,以获得计数容量更⼤的N进制计数器.1,异步计数器⼀般没有专门的进位信号输出端,通常可以⽤本级的⾼位输出信号驱动下⼀级计数器计数,即采⽤串⾏进位⽅式来扩展容量.举例:74LS290(1)100进制计数器(2)64进制计数器2,同步计数器有进位或借位输出端,可以选择合适的进位或借位输出信号来驱动下⼀级计数器计数.同步计数器级联的⽅式有两种,⼀种级间采⽤串⾏进位⽅式,即异步⽅式,这种⽅式是将低位计数器的进位输出直接作为⾼位计数器的时钟脉冲,异步⽅式的速度较慢.另⼀种级间采⽤并⾏进位⽅式,即同步⽅式,这种⽅式⼀般是把各计数器的CP端连在⼀起接统⼀的时钟脉冲,⽽低位计数器的进位输出送⾼位计数器的计数控制端.举例:74161(1)60进制(2)12位⼆进制计数器(慢速计数⽅式)12位⼆进制计数器(快速计数⽅式)7.4 寄存器和移位寄存器寄存器是由具有存储功能的触发器组合起来构成的.⼀个触发器可以存储1位⼆进制代码,存放n位⼆进制代码的寄存器,需⽤n个触发器来构成.按照功能的不同,可将寄存器分为基本寄存器和移位寄存器两⼤类.基本寄存器只能并⾏送⼊数据,需要时也只能并⾏输出.移位寄存器中的数据可以在移位脉冲作⽤下依次逐位右移或左移,数据既可以并⾏输⼊,并⾏输出,也可以串⾏输⼊,串⾏输出,还可以并⾏输⼊,串⾏输出,串⾏输⼊,并⾏输出,⼗分灵活,⽤途也很⼴.7.4.1 基本寄存器概念:在数字电路中,⽤来存放⼆进制数据或代码的电路称为寄存器.1,单拍⼯作⽅式基本寄存器⽆论寄存器中原来的内容是什么,只要送数控制时钟脉冲CP上升沿到来,加在并⾏数据输⼊端的数据D0~D3,就⽴即被送⼊进寄存器中,即有:2.双拍⼯作⽅式基本寄存器(1)清零.CR=0,异步清零.即有:(2)送数.CR=1时,CP上升沿送数.即有:(3)保持.在CR=1,CP上升沿以外时间,寄存器内容将保持不变.7.4.2 移位寄存器1.单向移位寄存器四位右移寄存器:时钟⽅程:驱动⽅程:状态⽅程:右移位寄存器的状态表:输⼊现态说明Di CP1 ↑1 ↑1 ↑1 ↑0 0 0 01 0 0 01 1 0 01 1 1 01 0 0 01 1 0 01 1 1 01 1 1 1连续输⼊4个1单向移位寄存器具有以下主要特点:单向移位寄存器中的数码,在CP脉冲操作下,可以依次右移或左移.n位单向移位寄存器可以寄存n位⼆进制代码.n个CP脉冲即可完成串⾏输⼊⼯作,此后可从Q0~Qn-1端获得并⾏的n位⼆进制数码,再⽤n个CP脉冲⼜可实现串⾏输出操作.若串⾏输⼊端状态为0,则n个CP脉冲后,寄存器便被清零.2.双向移位寄存器M=0时右移M=1时左移3.集成双向移位寄存器74LS194CT74LS194的引脚排列图和逻辑功能⽰意图:CT74LS194的功能表:⼯作状态0 × × ×1 0 1 ↑1 1 0 ↑1 1 1 ×异步清零保持右移左移并⾏输⼊7.4.3 移位寄存器的应⽤⼀,环形计数器1,环形计数器是将单向移位寄存器的串⾏输⼊端和串⾏输出端相连, 构成⼀个闭合的环.结构特点:,即将FFn-1的输出Qn-1接到FF0的输⼊端D0.⼯作原理:根据起始状态设置的不同,在输⼊计数脉冲CP的作⽤下,环形计数器的有效状态可以循环移位⼀个1,也可以循环移位⼀个0.即当连续输⼊CP脉冲时,环形计数器中各个触发器的Q端或端,将轮流地出现矩形脉冲.实现环形计数器时,必须设置适当的初态,且输出Q3Q2Q1Q0端初始状态不能完全⼀致(即不能全为"1"或"0"),这样电路才能实现计数, 环形计数器的进制数N与移位寄存器内的触发器个数n相等,即N=n2,能⾃启动的4位环形计数器状态图:由74LS194构成的能⾃启动的4位环形计数器时序图⼆,扭环形计数器1,扭环形计数器是将单向移位寄存器的串⾏输⼊端和串⾏反相输出端相连,构成⼀个闭合的环.实现扭环形计数器时,不必设置初态.扭环形计数器的进制数N与移位寄存器内的触发器个数n满⾜N=2n的关系结构特点为:,即将FFn-1的输出接到FF0的输⼊端D0.状态图:2,能⾃启动的4位扭环形计数器7.4.4 顺序脉冲发⽣器在数字电路中,能按⼀定时间,⼀定顺序轮流输出脉冲波形的电路称为顺序脉冲发⽣器.顺序脉冲发⽣器也称脉冲分配器或节拍脉冲发⽣器,⼀般由计数器(包括移位寄存器型计数器)和译码器组成.作为时间基准的计数脉冲由计数器的输⼊端送⼊,译码器即将计数器状态译成输出端上的顺序脉冲,使输出端上的状态按⼀定时间,⼀定顺序轮流为1,或者轮流为0.前⾯介绍过的环形计数器的输出就是顺序脉冲,故可不加译码电路即可直接作为顺序脉冲发⽣器.⼀,计数器型顺序脉冲发⽣器计数器型顺序脉冲发⽣器⼀般⽤按⾃然态序计数的⼆进制计数器和译码器构成.举例:⽤集成计数器74LS163和集成3线-8线译码器74LS138构成的8输出顺序脉冲发⽣器.⼆,移位型顺序脉冲发⽣器◎移位型顺序脉冲发⽣器由移位寄存器型计数器加译码电路构成.其中环形计数器的输出就是顺序脉冲,故可不加译码电路就可直接作为顺序脉冲发⽣器.◎时序图:◎由CT74LS194构成的顺序脉冲发⽣器见教材P233的图7.4.6和图7.4.77.5 同步时序电路的设计(略)7.6 数字系统⼀般故障的检查和排除(略)本章⼩结计数器是⼀种应⽤⼗分⼴泛的时序电路,除⽤于计数,分频外,还⼴泛⽤于数字测量,运算和控制,从⼩型数字仪表,到⼤型数字电⼦计算机,⼏乎⽆所不在,是任何现代数字系统中不可缺少的组成部分.计数器可利⽤触发器和门电路构成.但在实际⼯作中,主要是利⽤集成计数器来构成.在⽤集成计数器构成N进制计数器时,需要利⽤清零端或置数控制端,让电路跳过某些状态来获得N进制计数器.寄存器是⽤来存放⼆进制数据或代码的电路,是⼀种基本时序电路.任何现代数字系统都必须把需要处理的数据和代码先寄存起来,以便随时取⽤.寄存器分为基本寄存器和移位寄存器两⼤类.基本寄存器的数据只能并⾏输⼊,并⾏输出.移位寄存器中的数据可以在移位脉冲作⽤下依次逐位右移或左移,数据可以并⾏输⼊,并⾏输出,串⾏输⼊,串⾏输出,并⾏输⼊,串⾏输出,串⾏输⼊,并⾏输出.寄存器的应⽤很⼴,特别是移位寄存器,不仅可将串⾏数码转换成并⾏数码,或将并⾏数码转换成串⾏数码,还可以很⽅便地构成移位寄存器型计数器和顺序脉冲发⽣器等电路.在数控装置和数字计算机中,往往需要机器按照⼈们事先规定的顺序进⾏运算或操作,这就要求机器的控制部分不仅能正确地发出各种控制信号,⽽且要求这些控制信号在时间上有⼀定的先后顺序.通常采取的⽅法是,⽤⼀个顺序脉冲发⽣器来产⽣时间上有先后顺序的脉冲,以控制系统各部分协调地⼯作.顺序脉冲发⽣器分计数型和移位型两类.计数型顺序脉冲发⽣器状态利⽤率⾼,但由于每次CP信号到来时,可能有两个或两个以上的触发器翻转,因此会产⽣竞争冒险,需要采取措施消除.移位型顺序脉冲发⽣器没有竞争冒险问题,但状态利⽤率低.。
三位二进制加法计数器(精)
成绩评定表学生姓名班级学号专业自动化课程设计题目数字电子课程设计评语组长签字:成绩日期20 年月日课程设计任务书学院信息科学与工程学院专业自动化学生姓名班级学号课程设计题目 1.三位二进制加法计数器(无效态:001,110)2.序列信号发生器的设计(发生序列100101)3.100进制加法计数器设计实践教学要求与任务:数字电子部分1)采用multisim 仿真软件建立电路模型;2)对电路进行理论分析、计算;3)在multisim环境下分析仿真结果,给出仿真波形图。
工作计划与进度安排:第1天:1. 布置课程设计题目及任务。
2. 查找文献、资料,确立设计方案。
第2-3天:1. 安装multisim软件,熟悉multisim软件仿真环境。
2. 在multisim环境下建立电路模型,学会建立元件库。
第4天:1. 对设计电路进行理论分析、计算。
2. 在multisim环境下仿真电路功能,修改相应参数,分析结果的变化情况。
第5天:1. 课程设计结果验收。
2. 针对课程设计题目进行答辩。
3. 完成课程设计报告。
指导教师:201 年月日专业负责人:201 年月日学院教学副院长:201 年月日目录1 课程设计的目的与作用11.1设计目的及设计思想11.2设计的作用11.3 设计的任务12 所用multisim软件环境介绍13 三位二进制同步加法计数器设计33.1 基本原理33.2 设计过程34序列信号发生器的设计..64.1 基本原理64.2 设计过程66 100进制加法器计数器76.1 基本原理76.2 设计过程75 仿真结果分析85.1 三位二进制同步加法计数器仿真85.2 序列信号发生器(发生序列100101)的仿真116 设计总结和体会147 参考文献141 课程设计的目的与作用1.1设计目的及设计思想根据设计要求设计三位二进制加法计数器和序列信号发生器,加强对数字电子技术的理解,进一步巩固课堂上学到的理论知识。
锁存器与触发器习题与参考答案
第5章 锁存器与触发器 习题与参考答案[题5-1] 画出图题5-1所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。
(设Q 初始状态为0)S RSRSRQQ....图题5-1解:SR.QQ....[题5-2] 画出图题5-2所示的SR 锁存器输出端Q 、Q 端的波形,输入端S 与R 的波形如图所示。
(设Q 初始状态为0)S RS RQQ...SR....图题5-2解:SR.Q Q......[题5-3] 画出图题5-3所示的电平触发SR 触发器输出端Q 、Q 端的波形,输入端S 、R 与CLK 的波形如图所示。
(设Q 初始状态为0)C1S RSRQQ....CLKS RCLK...图题5-3解:S RCLK..Q Q..[题5-4] 画出图题5-4所示的电平触发D 触发器输出Q 端的波形,输入端D 与CLK 的波形如图所示。
(设Q 初始状态为0)C1D DQQ....CLKDCLK..图题5-4解:DCLK..QQ....[题5-5] 画出图题5-5所示的边沿触发D 触发器输出端Q 端的波形,输入端D 与CLK 的波形如图所示。
(设Q 初始状态为0)C11DD QQ....CLKDCLK ...DQQ....CLKDCLK...C11D (1)(2)图题5-5解:DCLK ...DCLK...(1)(2)QQ....[题5-6] 画出图题5-6所示的边沿D 触发器输出Q 端的波形,CLK 的波形如图所示。
(设Q 初始状态为0)C11D Q 1CLK....CLK.1C11D Q 2CLK .CLK .图题5-6解:CLK....Q1Q2Q3...[题5-7] 试画出图题5-7所示电路输出端Q 1、Q 0端的波形,CLK 的波形如图所示。
(设Q 初始状态为0)CLK.....图题5-7解:..CLK...Q0Q1[题5-8] 画出图题5-8所示的JK 触发器输出Q 端的波形,输入端J 、K 与CLK 的波形如图所示。
基于MSI芯片74160设计模为100的计数器
基于MSI芯片74160设计模为100的计数器1、实验目的:基于MSI芯片74160,利用QuartusII软件设计并实现一个计数器的逻辑功能,通过电路的仿真和硬件验证,进一步了解计数器的特性和功能。
2、实验原理:利用集成计数器MSI芯片的清零端和置数端实现归零,可以按自然态序进行计数的N进制计数器的方法。
集成计数器中,清零、置数均采用异步方式的有74LS163;均采用异步方式的有74LS193、74LS197、74LS192;清零端采用异步方式、置数端采用同步方式的有74LS161、74LS160。
74161/74160功能真值表表1图13、实验环境:PC机(Windowsxp,QuartusII)4、实验内容:按照第五章相关内容,完成计数器的实际,包括原理图输入、编译、综合、适配、仿真,并将此计数器电路设计成一个硬件符号入库。
最后利用两个MSI芯片74160完成一个模为60的计数器的设计,包括原理图的输入、编译、综合、适配、仿真。
5、实验步骤:Step1.启动QuartusII“开始”菜单“所有程序”中的“Altera”程序框中选择“QuartusII”如图1所示:Step2.建立工作库目录文件夹以便设计工程项目的存储EDA工具中的任何一项设计都是一项工程(PROJECT),应首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(WORK LIBRARY).一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件夹。
本项目中的文件夹取名为counter_100,路径为:E:/数字逻辑/作业/100511217/。
注意:文件夹名不能用中文,且不可带空格。
Step3.输入设计(1)打开QuartusII,选择File|New命令。
在New窗口中(如图2所示)的DeviceDesign File中选择硬件设计文件类型为Block Diagram/Schematic File,然后在框图设计文件编辑窗中输入源程序图1的文件。
三位二进制同步加法计数器设计
目录1 数字电子设计部分 (1)1.1课程设计的目的 (1)1.2设计的总体框图 (1)1.3设计过程 (1)1.4设计的逻辑电路图 (7)1.6实验仪器 (10)1.7实验结论 (10)1.8参考文献 (11)2 模拟电子设计部分 (11)2.1 课程设计的目的与作用: (11)2.2 设计任务、及所用multisim软件环境介绍 (11)2.3 差分放大电路 (12)2.3.1长尾式差分放大电路 (12)2.3.2 恒流源式差分放大电路 (16)2.4 反馈 (21)2.4.1电压并联负反馈 (21)2.4.2电压串联正反馈 (23)2.5 电压比较器 (24)2.5.1单限比较器 (24)2.5.2滞回比较器 (26)2.5.3双限比较器 (28)2.6 设计总结和体会 (30)2.7 参考文献 (31)1 数字电子设计部分1.1课程设计的目的1、加深对教材的理解和思考,并通过设计、验证证实理论的正确性。
2、学习自行设计一定难度并有用途的的计数器、加法器、寄存器等。
3、检测自己的数字电子技术的掌握程度。
1.2设计的总体框图①下图为三位二进制同步加法器示意框图:②下图为三位二进制同步加法器示意框图:1.3设计过程1、三位二进制同步加法计数器(无效态为010、011)(设输出为进位数)。
①根据题意可以确定出3位二进制加法器的状态图:000/0−−→001/0−−→100/0−−→101/0−−→110/0−−→ 111/1排列:nnn210Q Q Q3位二进制加法计数器的状态图下图为三位二进制同步加法计数器(无效态为010、011)的时序图:②选择触发器,求时钟方程。
选择触发器:由于JK 触发器功能齐全、使用灵活,故选用3个时钟下降触发的边沿JK 触发器。
求时钟方程:由于要求构成的是同步计数器,显然各个触发器的时钟信号都应使用输入脉冲,即012CP CPCP CP === ③求输出方程和状态方程:卡诺图如下:ⅰ、下图为3位二进制同步加法器的次态和输出卡诺图:ⅱ、下图为3位二进制同步加法器的输出的卡诺图:ⅲ、下图为3位二进制同步加法器的次态n+12Q 的卡诺图:ⅳ、下图为3位二进制同步加法器的次态n+11Q 的卡诺图:ⅴ、下图为3位二进制同步加法器的次态n+10Q 的卡诺图:根据输出卡诺图和各个触发器的次态卡诺图,可直接写出输出方程和下列状态方程:nY Q=1nQ CP↓n+1n n n n n n n n20210202102()n nQ Q Q Q Q Q Q Q Q Q Q=++=+n+1n n n n120101nQ Q Q Q Q Q=+n+1n00Q Q=④求驱动方程JK触发器的特性方程为:1n n nQ JQ KQ+=+CP↓直接对照现态的系数,写出驱动方程的:n20n n210J QK Q Q==n n120n10J Q QK Q==11JK==⑤检查电路是否能够自启动将无效态010、011代入状态方程中进行计算,结果如下:010/0−−→011/0−−→100(有效态)故而能够自启动。
数字电路实验3计数器教材
实验八计数器一、实验目的1.熟悉由集成触发器构成的计数器电路及其工作原理。
2.熟悉掌握常用中规模集成电路计数器及其应用方法。
二、实验原理和电路所谓计数,就是统计脉冲的个数,计数器就是实现“计数”操作的时序逻辑电路。
计数器的应用十分广泛,不仅用来计数,也可用作分频、定时等。
计数器种类繁多。
根据计数体制的不同,计数器可分成二进制(即2”进制)计数器和非二进制计数器两大类。
在非二进制计数器中,最常用的是十进制计数器,其它的一般称为任意进制计数器。
根据计数器的增减趋势不同,计数器可分为加法计数器—随着计数脉冲的输入而递增计数的;减法计数器—随着计数脉冲的输入而递减的;可逆计数器—既可递增,也可递减的。
根据计数脉冲引入方式不同,计数器又可分为同步计数器—计数脉冲直接加到所有触发器的时钟脉冲(CP)输入端;异步计数器—计数脉冲不是直接加到所有触发器的时钟脉冲(CP)输入端。
1.异步二进制加法计数器异步二进制加法计数器是比较简单的。
图 1.8.1(a)是由4个JK(选用双JK74LS112)触发器构成的4位二进制(十六进制)异步加法计数器,图1.8.1(b)和(c)分别为其状态图和波形图。
对于所得状态图和波形图可以这样理解:触发器FF O(最低位)在每个计数沿(CP)的下降沿(1 → 0)翻转,触发器FF1的CP端接FF0的Q0端,因而当FF O(Q O)由1→ 0时,FF1翻转。
类似地,当FF1(Q1)由1→0时,FF2翻转,FF2(Q2)由1→0时,FF3翻转。
4位二进制异步加法计数器从起始态0000到1111共十六个状态,因此,它是十六进制加法计数器,也称模16加法计数器(模M=16)。
从波形图可看到,Q0 的周期是CP周期的二倍;Q1 是Q0的二倍,CP的四倍;Q2是Q1 的二倍,Q0的四倍,CP的八倍;Q3是Q2的二倍,Q1的四倍,Q0的八倍,CP的十六倍。
所以Q0 、Q1、Q2、Q3分别实现了二、四、八、十六分频,这就是计数器的分频作用。
数字电路逻辑设计 第六章2
(一)反馈清零法
74160是模10计数器,要实现模853计数,须用三片74160级联。 ⑴先设计模1000计数器: M = M1×M2 ×M3=10 × 10 × 10=1000 ☆ 利用各片间进位信号快速传递方法,组成计数模值为1000计数器。 ⑵ 用异步清0法,使计数器计数脉冲输入到第853个脉冲时产整体置0 信号 使计数器返回到初始状态0000。 计数范围:
画出逻辑图如图
D2 D1 D0 CTT D3 CTP CTRDIV16 CO CT161 CR
LD
1
Q 3 Q2 Q 1 Q 0
0
0
&
O
0
(二)同步预置法
例:用四位同步二进制计数器74161设计余3BCD码计数器。
解:余3BCD码计数器的状态转移图如图所示
0011 1100
LD Q3Q2
画出逻辑图
&
1 CTP CR CTT 1
74161(1)
D0D1D2D3
Q0Q1Q2Q3 CO
CTP CTT
CR
74161(2)
D0D1D2D3
Q0Q1Q2Q3 CO
LD
LD
CP
(二)同步预置法
方法三、整体同步反馈置数: (利用进位输出作为置数译码信号) 计数范围196-255,当计数器计到255时,CO=1,使 两片74161置数控制端 /LD=0,下一个CP到来时置数。 预置输入=256-60=196 (196)10=(11000100)2 低位片预置数:0100 高位片预置数:1100
工作波形图: 5 6 7 8
9
10
6.5采用中规模集成器件设计任意进制计数器
1. M<N,N为单片计数器的最大计数值
multisim建立100进制计数器步骤
multisim建立100进制计数器步骤Multisim是一款非常流行的电路仿真软件,它可以帮助我们快速地设计各种电路并模拟其工作过程。
在实际的电路设计中,计数器经常被使用。
100进制计数器可以实现对0~99的数字进行计数和展示。
下面我们将介绍如何通过Multisim来建立一个简单的100进制计数器。
首先,我们需要打开Multisim软件并新建一个电路图。
在新建电路图的界面中,我们可以选择“文件”->“新建”->“电路图”来创建一个新的电路图文件。
创建好之后,我们就可以开始设计我们的计数器电路了。
第一步,我们需要选择适当的计数器芯片。
在Multisim中,我们可以直接从工具栏中拖拽各种芯片模块到电路图中。
我们需要选择一个100进制的计数器芯片,比如CD4017。
将CD4017拖拽到电路图中。
第二步,我们需要为芯片提供合适的时钟输入。
在Multisim中,我们可以在工具栏中找到各种电子元器件,包括时钟发生器。
我们需要将一个合适的时钟发生器拖拽到电路图中,然后将输出连接到计数器的时钟输入端口上。
第三步,我们需要将计数器的输出连接到适当的显示器上,以展示当前计数器的计数值。
在Multisim中,我们可以选择各种显示器模块,包括数字显示器。
我们需要选择一个100进制数字显示器,并将计数器的输出连接到其输入端口上。
第四步,我们需要为计数器提供复位功能,以便在需要时将计数器的值重置为0。
在Multisim中,我们可以使用一个按钮模块来实现复位功能。
我们需要将按钮的输出连接到计数器的复位端口上。
第五步,我们需要为电路添加电源。
在Multisim中,我们可以通过选择电池模块或一个直流电源来为电路提供电源。
我们需要将电源的正负极分别连接到电路的正负极端口上。
最后,我们需要检查一遍我们的电路图,并确认每个元器件的连接方式和参数设置都是正确的。
如果一切都准备好了,我们就可以开始仿真电路的工作过程,看看我们的计数器是否能够正常工作。
74192实现100进制计数器实验原理
74192实现100进制计数器实验原理100进制计数器是一种用于计算机科学和电子工程中的数字计数器。
它可以用来表示和处理范围在0到99之间的数字。
在这篇文章中,我们将介绍100进制计数器的原理以及如何实现它。
首先,让我们先了解一下计数器的基本工作原理。
计数器是一种递增的电子装置,可以记录或计算输入脉冲的数量。
它们通常是基于时钟信号进行计数,每个时钟脉冲都会导致计数器值的递增。
计数器通常包括输入端、时钟端、复位端和输出端。
输入端用来接收输入脉冲,时钟端用来接收时钟信号,复位端用来将计数器值重置为初始值,输出端用来输出计数器的当前值。
在100进制计数器中,我们需要使用两个10进制计数器来表示两位数字。
每个10进制计数器都可以表示0到9之间的数字。
当第一个计数器的值达到9时,它将发送一个进位信号到第二个计数器,同时将自身的值重置为0。
这样,第二个计数器的值将递增1。
当第二个计数器的值达到9时,它将发送一个进位信号到更高位(如果有的话),并将自身的值重置为0。
这样,我们可以实现一个0到99之间的数字计数器。
为了实现100进制计数器,我们需要使用逻辑门和触发器构建逻辑电路。
逻辑门用于控制输入脉冲的传递和进位信号的生成。
触发器用于存储计数器的当前值。
我们可以使用D触发器来实现这一功能。
让我们以一个简单的示例来说明具体操作。
假设我们要构建一个2位的100进制计数器。
这意味着我们需要使用两个10进制计数器,并且每个计数器都可以表示0到9之间的数字。
我们可以使用两个D触发器(称为D1和D2)来存储计数器的值。
首先,我们将输入脉冲连接到D触发器的时钟端。
每个输入脉冲到达时,D触发器将会根据当前输入(D1和D2)的值来更新输出(Q1和Q2)的值。
我们还需要将Q1和Q2连接到逻辑门中,以便在特定情况下生成进位信号。
接下来,让我们看看如何实现第一个10进制计数器。
我们可以使用两个逻辑门和两个D触发器来完成这个任务。
首先,我们将D1和D2与逻辑门G1和G2连接。
模100进制计数器
计算机与信息技术学院综合性(设计性)实验报告一、实验目的1.掌握原理图的绘制与仿真2.熟悉74LS161的工作原理3.设计一个模100进制计数器二、实验仪器或设备装有PROTEUS软件的微机一台三、总体设计(设计原理、设计方案及流程等)1.设计原理(1)同步二进制计数器74161的原理所以要用74161设计一个模一百的计数器应用两个74161,并且每一个都将D0、D1、D2、D3分别置为0、1、1、0(2)七段数码管的原理七段数码管显示器由七段可发光的线段拼合而成,每一个线段都是发光二极管。
其外形图如右图所示数码管可以用TTL或CMOS集成电路直接驱动。
为此,就需要使用显示译图1七段数码管外形码器将BCD代码译成数码管所需要的驱动信号,以便使数码管用十进制数字显示出BCD代码所示的值。
规定1表示数码管中线段的点亮状态,用0表示熄灭状态。
(3)7477工作原理7477即74HC77是4位双稳态锁存器,其功能是将数转化为段选码2.设计方案如下图2所示,该部分的功能是将脉冲信号转化为数字信号如下图3所示,该部分的功能是将数字信号的16进制转化为10进制如下图4所示,该部分电路图的功能是将图3部分所输出的数字信号转化为数码管显示从0—9的各个数字的BCD 代码的值,即将数字转化为段选码图43.设计流程(1)根据实验要求画出原理图(2)对布局进行调整四、实验步骤(包括主要步骤、代码分析等)1.打开Proteus 软件,新建一个ISIS 类文件2.在该软件下新建一个项目,命名为“模100进制计数器.DSN ”3.在元器件库中找取该实验所需要的元器件4.合理的摆放元器件5.根据所绘制的原理图将各元器件用线连接起来6.对原理图进行适当的调整,使之美观图2 图37.进行调试,对不合理的模100进制计数器的原理图如下:五、结果分析与总结通过本次实验,我掌握了基本的原理图的设计步骤,并了解了仿真的基本原理和仿真设计方法,同时也掌握了74161、7477和七段数码管显示的工作原理,但是在设计过程中也遇到了一些问题,最后在同学的帮助下才得以解决。
74LS161
图2 集成计数器的级联 (2)用反馈清零法获得任意进制计数器 由于集成计数器一般都设置有清零端和置数端,而且无论是 清零还是置数都有同步和异步之分。例如,4 位二进制同步加法计 数器74LS163的清零和置数均采用同步方式,而有的只有异步清 零功能。获得任意进 制计数器的方法很多,本书只介绍用反馈清 零法获得任意进制计数器。 如用74LS16l构成九进制加法计数器,九进制计数器(N=9) 有9个状态,而74LS161在计数过程中有16个状态(M=[6), 正常循环从0000到1111,要构成九进制加法计数器,此时必须设 法 跳过M-N(16 - 9=7)个状态。74LS161具有异步清零功能, 在其计数过程当中,不管它的输出处于哪 一状态,只要在异步清 零输人端加一低电平电压,使CR=0,74LS161的输出会立即从 那个状态回到0000状 态。清零信号(CR=0)消失后,74LS161 又从0000状态开始重新计数。 如图3(a)所示的九进制计数器,就是借助74LS161的异步 清零功能实现的。如图3(b)所示电路是九进 制计数器的主循环 状态图。
பைடு நூலகம்
计数器的种类很多,按其进制不同分为二进制计数器、十 进制计数器、N进制计数器;按触发器翻转是否 同步分为 异步计数器和同步计数器;按计数时是增还是减分为加法 计数器、减法计数器和加/减法(可逆 )计数器。下面首 先介绍二进制计数器。 1.集成二进制计数器74LS161 74LS161是4位二进制同步加法计数器,除了有二进 制加法计数功能外,还具有异步清零、同步并行置数 、 保持等功能。74LS161的逻辑电路图和引脚排列图如图1 所示,CR是异步清零端,LD是预置数控制端,D0 ,D1, D2,D3是预置数据输人端,P和T是计数使能端,C是进 位输出端,它的设置为多片集成计数器的级 联提供了方 便。 74LS161的功能表如表1所示。由表可知,74LS161 具有以下功能。
《计数器芯片》PPT课件
带引脚名的逻辑符号
简化符号
5
(三)4位二进制同步可逆计数器芯片74 X 191
引脚分布
逻辑符号
6
带引脚名的逻辑符号
简化符号
7
74X191的功能表
没有清零,异步置数
8
9
(四)4位二进制同步可逆计数器芯片74X193
10
74X193的功能表
清零 预置
CR LD 1× 00 01 01 01
“加”计 “减”计 数时钟 数时钟
数过程中,跳过N-M个状态即可。 2.若M>N,需要多片N进制计数器级联,同步级联或异步级
联,然后再用反馈清零或反馈置数法构成M进制计器。
30
1.反馈清零法-适用于有清零输入端的集成计数器
(1)同步反馈清零法 例5-13 用集成计数器74X163和必要的门电路组成6进
制计数器,要求使用反馈清零法。
预置数据输入
CPU
CPD D3 D2 D1 D0
×
×
××××
×
× DCBA
1
1
××××
↑
1
××××
1
↑
××××
输出
Q3 Q2 Q1 Q0 0000 DCB
保A 持 计数 计数
工作模式
异步清零 异步置数 数据保持 加法计数 减法计数
异步清零,异步置数
11
12
(五)8421BCD码同步加法计数器74X160 芯片
4 位二进制“加” 计数器 异步(低电平有效) 同步(低电平有效)
十进制 “加” 计数器
同步(低电平有效) 同步(低电平有效)
4 位二进制“加” 计数器 同步(低电平有效) 同步(低电平有效)
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
实验名称:100进制同步计数器设计专业班级:姓名:学号:实验日期:一、实验目的:1、掌握计数器的原理及设计方法;2、设计一个0~100的计数器;3、利用实验二的七段数码管电路进行显示;二、实验要求:1、用VHDL 语言进行描写;2、有计数显示输出;3、有清零端和计数使能端;三、实验结果:1. VHDL程序LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;PACKAGE my_pkg ISComponent nd2 -- 或门PORT (a,b: IN STD_LOGIC;c: OUT STD_LOGIC);END Component;Component led_decoderPORT (din:in std_logic_vector(3 downto 0 ); --四位二进制码输入seg:out std_logic_vector(6 downto 0) ); --输出LED七段码END Component;1Component CNT60 --2位BCD码60进制计数器PORT( CR:IN STD_LOGIC;EN:IN STD_LOGIC;CLK:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );END Component;Component CNT100 --带使能和清零信号的100进制计数器PORT(CLK:IN STD_LOGIC;EN:IN STD_LOGIC;CLR:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) ); END Component;Component freq_div --50MHZ时钟分频出1HzPORT(clkinput : IN STD_LOGIC;output : OUT STD_LOGIC);END Component;Component jtd --交通灯控制器PORT(CLKIN:IN STD_LOGIC; --50MHZR1,G1,R2,G2,R3,G3,R4,G4:OUT STD_LOGIC; --红绿灯信号输出GAO,DI:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) --倒计时输出);END Component;END my_pkg;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE work.my_pkg.ALL; --打开程序包ENTITY Demo3 ISPORT (CRl:IN STD_LOGIC;ENl:IN STD_LOGIC;CLKIN: IN STD_LOGIC;LEDLOW,LEDHIGH: OUT STD_LOGIC_VECTOR(6 downto 0));END Demo3;ARCHITECTURE behv OF Demo3 ISSIGNAL CLKTEMP: STD_LOGIC; --定义中转信号SIGNAL LEDLOWTEMP,LEDHIGHTEMP:STD_LOGIC_VECTOR(3 downto 0);BEGINu1:freq_div PORT MAP(CLKIN,CLKTEMP); --位置关联方式u2:CNT60 PORTMAP(CR=>CRl,EN=>ENl,CLK=>CLKTEMP,OUTLOW=>LEDLOWTEMP,OUTHIGH=>LEDHIGHTE MP); --名字关联方式u3:led_decoder PORT MAP(LEDLOWTEMP,LEDLOW); --低位数码管输出u4:led_decoder PORT MAP(LEDHIGHTEMP,LEDHIGH); --高位数码管输出END behv;LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY freq_div ISPORT(clkinput : IN STD_LOGIC;output : OUT STD_LOGIC);END freq_div;ARCHITECTURE rt OF freq_div ISSIGNAL count_signal : INTEGER RANGE 0 TO 25000000;signal mid1 : STD_LOGIC ;BEGINPROCESS (clkinput)BEGINIF (clkinput'EVENT AND clkinput = '1') THENif count_signal=24999999 then --50MHz division to 1Hzcount_signal <= 0;mid1<= not mid1;elsecount_signal <= count_signal + 1;end if;output <= mid1;end if;END PROCESS;end rt;--文件名:decoder.vhdlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;entity led_decoder isPort (din:in std_logic_vector(3 downto 0 ); --四位二进制码输入seg:out std_logic_vector(6 downto 0) ); --输出LED七段码end led_decoder;architecture Behavioral of led_decoder isbeginprocess(din)begincase din iswhen "0000" =>seg<="1000000";--0when "0001" => seg<="1111001";--1when "0010" => seg<="0100100";--2when "0011" => seg<="0110000";--3when "0100" => seg<="0011001";--4when "0101" => seg<="0010010";--5when "0110" => seg<="0000010";--6when "0111" => seg<="1011000";--7when "1000" => seg<="0000000";--8when "1001" => seg<="0010000";--9when others => seg<="0000110";--Eend case;end process;end Behavioral;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT60 ISPORT(CR:IN STD_LOGIC;EN:IN STD_LOGIC;CLK:IN STD_LOGIC;OUTLOW:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);OUTHIGH:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0) );END CNT60;ARCHITECTURE behav OF CNT60 ISBEGINPROCESS(CLK,CR,EN)BEGINif CR='1' thenOUTHIGH<="0000";OUTLOW<="0000";elsif EN ='1'thenIF CLK'EVENT AND CLK='1' THENIF OUTHIGH="1001" AND OUTLOW="1001" THENOUTHIGH<="0000";OUTLOW<="0000";ELSIF OUTLOW="1001" THENOUTHIGH<=OUTHIGH+1;OUTLOW<="0000";ELSE OUTLOW<=OUTLOW+1;END IF;END IF;END IF;END PROCESS;END behav;2.仿真结果以及说明①. 分频器仿真结果:经过多次仿真,发现按照实验要求分频出1HZ的频率是无法仿真出需要的结果的,因为时钟脉冲太多,QuartusII显示不了。
因此我在代码中修改了下,改成每25次高电平计数器加一,实现分频后为1MHZ的频率,仿真出上图的波形。
仿真结果与分频后的频率一致。
②. 计数器仿真结果:计数器代码仿真波形如上图,实现了实验要求的功能,实现了100进制的自加计数器。
当使能EN=1时,计数器开始自动增加;当CLR=1时,计数器清零;当各位计数到9的时候,十位自动增加1;当计数到99之后,计数器自动清零,开始重新的自加;仿真结果与计数器功能一致。
3. 程序下载及运行情况说明四、实验总结:通过这次的可编程逻辑器件应用课,我对QuartusⅡ软件的使用与CPLD/FPGA设计有进一步了解与掌握。
实验通过一个简单的实例来演示如何使用Quartus II在做一个0~100的计数器。
虽然有许多不懂,但经过查询资料,使我克服困难,同时加深了对可编程逻辑器件应用与开发这门课的兴趣,我相信,以后能更好运用其中知识。