ic笔试常见试题

合集下载

IC验证工程师招聘笔试题及解答(某大型央企)

IC验证工程师招聘笔试题及解答(某大型央企)

招聘IC验证工程师笔试题及解答(某大型央企)(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、在数字逻辑设计中,下列哪个术语描述的是电路在输入信号改变之后,输出信号稳定地反映输入信号变化所需的时间?A. 建立时间B. 保持时间C. 传播延迟D. 竞争冒险2、在IC设计流程中,用于检查设计是否符合预定功能规范的步骤被称为?A. 仿真B. 物理设计C. 逻辑综合D. DFT(Design for Testability)3、以下关于集成电路(IC)验证的描述,正确的是:A. IC验证主要是针对硬件描述语言(HDL)的仿真过程B. IC验证只关注电路功能的正确性,不考虑时序问题C. IC验证过程不包括测试向量生成D. IC验证是设计阶段和制造阶段之间的唯一接口4、在IC验证中,以下哪种技术用于检测设计中的时序错误?A. Functional CoverageB. Formal VerificationC. Power-aware VerificationD. Static Timing Analysis5、在IC验证流程中,哪一种验证方法主要用于确保设计符合规范并且功能正确?A. 代码覆盖率分析B. 功能仿真C. 时序分析D. 物理验证6、下列哪种语言不是专门用来编写硬件描述模型的语言?A. VerilogB. VHDLC. C++D. SystemVerilog7、以下哪种技术不属于IC验证中的仿真技术?A、模拟仿真B、时序仿真C、功能仿真D、形式化验证8、在IC验证中,以下哪个工具主要用于验证组合逻辑电路?A、VCSB、VerilatorC、FormalD、ModelSim9、题干:以下哪种类型的设计是IC验证工程师最常遇到的?A. 组合逻辑电路设计B. 数字模拟混合电路设计C. 数字信号处理电路设计D. 集成电路芯片设计二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些工具或技术通常用于IC(集成电路)验证?()A、VerilogB、VHDLC、SystemVerilogD、Formal验证工具E、仿真软件F、脚本语言(如Perl、Python)2、以下哪些概念或方法在IC验证过程中是非常重要的?()A、功能覆盖率B、时序分析C、逻辑综合D、静态时序分析E、随机测试F、断言(Assertion)3、以下哪些是IC验证中常用的验证方法?()A. 仿真验证B. 形式验证C. 动态验证D. 静态验证E. 硬件在环验证4、以下哪些是IC验证中常用的验证语言?()A. SystemVerilogB. VerilogC. VHDLD. C/C++E. Python5、以下哪些是IC验证工程师在验证过程中常用的验证方法?A. 功能验证B. 性能验证C. 时序验证D. 功耗验证E. 安全验证6、在Verilog或SystemVerilog中,以下哪些是用于描述组合逻辑的语句?A. always_combB. always_ffC. alwaysD. initial7、以下哪些是IC验证中常见的验证方法?()A. Functional VerificationB. Structural VerificationC. Coverage-driven VerificationD. Formal VerificationE. Power Verification8、在IC验证过程中,以下哪些是常用的验证语言或工具?()A. SystemVerilogB. VerilogC. VHDLD. UVM (Universal Verification Methodology)E. assertion-based verification9、以下哪些是IC验证工程师在验证过程中常用的验证方法?()A. 仿真验证B. 硬件加速验证C. 验证语言(如SystemVerilog、Verilog)D. 设计实现E. 动态测试三、判断题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证过程中,需要确保所有设计时考虑的时序约束都得到了正确的实现和测试。

数字IC找工作常考笔试题

数字IC找工作常考笔试题

数字IC找工作常考笔试题1、状态机要注意的是状态机采用的编码、组合逻辑与时序逻辑的编写规则;2、分频器偶数分频、奇数分频以及小数分频;3、时序分析会分析时序逻辑电路的时序,计算关健路径的slack,包括存在锁存器的情况下的时序分析,metastability、竞争冒险以及这些现象的解决方法;4、perl编程比如perl统计出一段字母中每个字母的重复次数;5、小题一般都是IC方面的基本常识,比如棍图,systemverilog的优点,ASIC与FPGA之间代码如何移植等等。

6、国民的面试题:给出一个配置信号C,范围是0~15。

同时给出一个待毛刺的信号A和时钟信号clk。

毛刺的定义是持续时间小于等于C拍。

要求是滤掉毛刺,设计一个系统,要求细化到能写verilog的程度,并说明优缺点。

比如C=3,即是滤掉持续时间小于等于3拍的突变信号7、同步电路和异步电路的区别是什么?(仕兰微电子)8、什么是同步逻辑和异步逻辑?(汉王笔试)9、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)10、setup time和hold time(1)什么是Setup和Holdup时间?(汉王笔试)(2)setup和holdup时间,区别.(南山之桥)(3)解释setup time和hold time的定义和在时钟信号延迟时的变化。

(4)解释setup和hold time violation,画图说明,并说明解决办法。

(威盛VIA2003.11.06上海笔试试题)(5)给了reg的setup,hold时间,求中间组合逻辑的delay范围。

(飞利浦-大唐笔试)(6)时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。

组合逻辑电路最大延迟为T2max,最小为T2min。

问,触发器D2的建立时间T3和保持时间应满足什么条件。

(华为)(7)给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有clock的delay,写出决定最大时钟的因素,同时给出表达式。

IC笔试题大全(部分含答案)

IC笔试题大全(部分含答案)

EE笔试/面试题目集合分类--IC设计基础1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。

(仕兰微面试题目)2、FPGA和ASIC的概念,他们的区别。

(未知)答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。

根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。

与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)2、平板电容公式(C=εS/4πkd)。

(未知)3、最基本的如三极管曲线特性。

(未知)4、描述反馈电路的概念,列举他们的应用。

(仕兰微电子)5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。

(未知)8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。

(凹凸)9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。

(未知)10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。

(未知)11、画差放的两个输入管。

(凹凸)12、画出由运放构成加法、减法、微分、积分运算的电路原理图。

并画出一个晶体管级的运放电路。

(仕兰微电子)13、用运算放大器组成一个10倍的放大器。

IC验证工程师招聘笔试题与参考答案(某大型国企)2024年

IC验证工程师招聘笔试题与参考答案(某大型国企)2024年

2024年招聘IC验证工程师笔试题与参考答案(某大型国企)(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、以下关于数字电路中CMOS电路的特点,描述错误的是:A、功耗低B、抗干扰能力强C、工作速度慢D、易于集成2、在数字电路设计中,以下哪种电路结构可以实现基本逻辑门的功能?A、与门B、或门C、非门D、异或门3、题干:在集成电路验证过程中,以下哪个说法是正确的?A. 验证环境应该尽可能简单,以确保验证的准确性B. 验证环境应该尽可能复杂,以模拟真实应用场景C. 验证环境应介于简单和复杂之间,以确保验证效率和准确性D. 验证环境的复杂程度由验证团队的主观意愿决定4、题干:以下关于Verilog语言中initial块和always块的说法,哪个是正确的?A. initial块和always块都是顺序执行,initial块在仿真开始时执行一次,always块在每个仿真时间步长开始时执行一次B. initial块和always块都是顺序执行,initial块在仿真开始时执行一次,always块在仿真结束时执行一次C. initial块是顺序执行,在仿真开始时执行一次;always块是并行执行,在每个仿真时间步长开始时执行一次D. initial块是并行执行,在仿真开始时执行一次;always块是顺序执行,在每个仿真时间步长开始时执行一次5、在IC验证流程中,以下哪个阶段不属于功能验证阶段?A. 初始环境搭建B. 测试用例开发C. 验证环境搭建D. 仿真和调试6、以下哪种工具在IC验证中主要用于仿真和调试?A. UVMB. VCSC. VerilatorD. GDB7、在IC验证过程中,以下哪个术语用于描述验证环境中的测试案例?A. TestbenchB. Testbench CodeC. Testbench ModuleD. Testbench Stimulus8、以下哪种验证方法不依赖于模拟硬件或软件,而是使用实际硬件进行验证?A. Simulation-based VerificationB. FPGA-based VerificationC. Formal VerificationD. Emulation-based Verification9、题目:在数字电路中,以下哪种触发器在时钟信号的上升沿触发?A. 主从触发器B. 同步触发器C. 异步触发器D. 边沿触发器 10、题目:在以下关于Verilog HDL的描述中,哪项是错误的?A. Verilog HDL支持硬件描述语言和测试语言B. Verilog HDL中,always块可以用来描述时序逻辑和组合逻辑C. Verilog HDL中,initial块通常用来初始化时序逻辑D. Verilog HDL中,task和function都可以被调用以执行特定功能二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些技术或工具是IC(集成电路)验证工程师在日常工作中所必须熟悉的?()A、Verilog/VHDLB、SystemVerilogC、UVM(Universal Verification Methodology)D、TLM(Transaction-Level Modeling)E、SPICE(Simulation Program with Integrated Circuit Emphasis)F、GDB(GNU Debugger)2、在IC验证过程中,以下哪些是验证工程师需要关注的验证阶段?()A、功能验证B、时序验证C、功耗验证D、安全验证E、兼容性验证F、性能验证3、以下哪些工具或技术是IC验证工程师在芯片设计验证过程中常用的?()A. SystemVerilogB. Verilog-AC. UVM(Universal Verification Methodology)D. waveform viewerE. DFT(Design-for-Test)4、在IC验证过程中,以下哪些步骤是验证工程师需要完成的?()A. 验证需求分析B. 验证环境搭建C. 验证计划制定D. 验证用例编写E. 验证结果分析5、以下哪些是IC验证工程师在验证过程中常用的验证方法?()A. 仿真验证B. 系统级验证C. 单元级验证D. 代码覆盖率分析E. 动态功耗分析6、以下哪些是UVM(Universal Verification Methodology)验证环境中常见的组件?()A. SequenceB. ScoreboardC. AgentD. DriverE. Monitor7、以下哪些是IC(集成电路)验证工程师在验证过程中需要关注的时序问题?()A. setup timeB. hold timeC. clock domain crossingD. metastabilityE. power integrity8、在IC验证过程中,以下哪些工具或技术被广泛用于提高验证效率?()A. UVM(Universal Verification Methodology)B. assertion-based verificationC. formal verificationD. coverage-driven verificationE. simulation acceleration9、以下哪些技术是IC验证工程师在工作中常用的验证方法?()A. 仿真验证B. 硬件加速验证C. 实验室测试D. 动态功耗分析 10、以下关于验证计划的描述,正确的是哪些?()A. 验证计划应包含验证目标、验证策略、验证环境等B. 验证计划应详细列出所有的验证用例和测试项C. 验证计划应根据项目进度动态调整D. 验证计划应确保验证过程的可追溯性三、判断题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证过程中,只需关注设计规格书,无需考虑其他相关文档。

IC验证工程师招聘笔试题及解答(某大型国企)

IC验证工程师招聘笔试题及解答(某大型国企)

招聘IC验证工程师笔试题及解答(某大型国企)一、单项选择题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在验证流程中,以下哪个阶段通常负责确保设计规格的正确性和完整性?A、功能验证B、形式验证C、静态时序分析D、后端验证答案:A 解析:在IC验证流程中,功能验证阶段的主要任务是确保设计规格的正确性和完整性,通过模拟和测试验证设计的功能是否符合预期。

形式验证主要关注逻辑结构的正确性,静态时序分析关注时序约束的满足,后端验证关注物理层面的实现。

2、以下哪个工具通常用于检查设计中的逻辑错误和冗余,而不需要运行仿真?A、仿真软件B、形式验证工具C、静态分析工具D、功耗分析工具答案:C 解析:静态分析工具可以在不运行仿真的情况下检查设计中的逻辑错误和冗余。

这些工具分析设计文件,查找潜在的错误和不一致性,而不需要实际运行设计来验证其功能。

仿真软件需要运行仿真来测试设计,形式验证工具用于确保逻辑结构的正确性,功耗分析工具用于评估设计的功耗。

3、在数字电路中,以下哪种触发器可以实现边沿触发的功能?A. 触发器DB. 触发器JKC. 触发器TD. 触发器RS答案:B 解析:JK触发器是一种可以边沿触发也可以电平触发的触发器。

当J 和K输入端同时为1或0时,JK触发器可以实现边沿触发的功能。

而在其他触发器中,如D触发器、T触发器和RS触发器,通常只有电平触发功能,无法实现边沿触发。

4、以下哪个描述是正确的关于Verilog语言中initial和always语句的区别?A. initial语句用于初始化电路,而always语句用于描述电路的行为。

B. initial语句用于描述电路的行为,而always语句用于初始化电路。

C. initial和always语句都用于初始化电路。

D. initial和always语句都用于描述电路的行为。

答案:A 解析:在Verilog语言中,initial语句用于初始化电路,即在仿真开始时执行一次,通常用于赋初值。

ic笔试题目汇总

ic笔试题目汇总

数字IC设计工程师笔试面试经典100题1:什么就是同步逻辑与异步逻辑?同步逻辑就是时钟之间有固定得因果关系。

异步逻辑就是各时钟之间没有固定得因果关系。

同步时序逻辑电路得特点:各触发器得时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路得状态才能改变。

改变后得状态将一直保持到下一个时钟脉冲得到来,此时无论外部输入 x 有无变化,状态表中得每个状态都就是稳定得。

异步时序逻辑电路得特点:电路中除可以使用带时钟得触发器外,还可以使用不带时钟得触发器与延迟元件作为存储元件,电路中没有统一得时钟,电路状态得改变由外部输入得变化直接引起。

2:同步电路与异步电路得区别:同步电路:存储电路中所有触发器得时钟输入端都接同一个时钟脉冲源,因而所有触发器得状态得变化都与所加得时钟脉冲信号同步。

异步电路:电路没有统一得时钟,有些触发器得时钟输入端与时钟脉冲源相连,只有这些触发器得状态变化与时钟脉冲同步,而其她得触发器得状态变化不与时钟脉冲同步。

3:时序设计得实质:时序设计得实质就就是满足每一个触发器得建立/保持时间得要求。

4:建立时间与保持时间得概念?建立时间:触发器在时钟上升沿到来之前,其数据输入端得数据必须保持不变得最小时间。

保持时间:触发器在时钟上升沿到来之后,其数据输入端得数据必须保持不变得最小时间。

5:为什么触发器要满足建立时间与保持时间?因为触发器内部数据得形成就是需要一定得时间得,如果不满足建立与保持时间,触发器将进入亚稳态,进入亚稳态后触发器得输出将不稳定,在0与1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后得值并不一定就是您得输入值。

这就就是为什么要用两级触发器来同步异步输入信号。

这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生得亚稳态传播到后面逻辑中,导致亚稳态得传播。

(比较容易理解得方式)换个方式理解:需要建立时间就是因为触发器得D端像一个锁存器在接受数据,为了稳定得设置前级门得状态需要一段稳定时间;需要保持时间就是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

数字IC设计工程师笔试面试经典100题(大部分有答案)

数字IC设计工程师笔试面试经典100题(大部分有答案)

1:什么是同步逻辑和异步逻辑?(汉王)同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。

改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化,状态表中的每个状态都是稳定的。

异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,_ 电路中没有统一的时钟,电路状态的改变由外部输入_ 的变化直接引起。

2 :同步电路和异步电路的区别:同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。

异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。

3 :时序设计的实质:时序设计的实质就是满足每一个触发器的建立/保持时间的要求。

4 :建立时间与保持时间的概念?建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。

保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。

5:为什么触发器要满足建立时间和保持时间?因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。

这就是为什么要用两级触发器来同步异步输入信号。

这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。

(比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。

IC笔试、面试题库(含答案)

IC笔试、面试题库(含答案)
8
2、FPGA和ASIC的概念,他们的
区别。(未知)
ASIC:专用集成电路,它是面向专门用
途的电路,专门为一个用户设计和制造的。
根据一个用户的特定要求,能以低研制成本,
短、交货周期供货的全定制,半定制集成电
路。与门阵列等其它ASIC (Application
Specific IC)相比,它们又具有设计开发周期
量产的电子产品。
14
熔丝型开关
PLICE(可编程逻辑互连电路单元)
熔丝断开为1
01
A1 A 0
0 0
0 1
1 0
1 1
1
0
10 00 00 1
0
Y1
0
0
0
1
Y2 Y3 Y4
0 0 0
0 0 1
1 0 0
0 0 1
十进制
0
1
4
9
用高压将PLICE
介质击穿。
反熔丝型开关
15
在反熔丝PROM中,各连接点放的不是熔丝,而
单片微型计算机(Single Chip
Microcomputer),是指随着大规模集成
电路的出现及其发展,将计算机的
CPU、RAM、ROM、定时数器和多种
I/O接口集成在一片芯片上,形成芯片
级的计算机。
4
设计方法上从CISC结构演变到RISC结构
通常将采用英特尔处理器的服务器称为
IA (Intel Architecture)架构服务器,由于
Logic
0.35/0.3µm 3.3V/5V
Mix Mode
NVM
Hi-Voltage
CIS
Rtn
0.15µm

数字ic笔试题——接口转换电路

数字ic笔试题——接口转换电路

数字ic笔试题——接口转换电路接口转换电路是用于连接不同电气特性或信号类型的两个设备或系统的电路。

它可以将一个设备的信号转换为另一个设备可以理解和处理的信号。

在数字IC领域中,接口转换电路通常用于将一种数字信号转换为另一种数字信号。

这些信号可以具有不同的电平、协议或时序要求。

下面是几个与数字IC接口转换相关的笔试题:1. 什么是接口转换电路?为什么需要进行接口转换?答:接口转换电路是用于连接不同电气特性或信号类型的两个设备或系统的电路。

它将一个设备的信号转换为另一个设备可以理解和处理的信号。

接口转换通常需要进行的原因包括: - 设备之间使用不同的电平标准(如TTL、CMOS、LVDS 等)。

- 设备之间使用不同的通信协议(如UART、SPI、I2C等)。

- 设备之间使用不同的数据格式(如并行、串行、并行/串行转换)。

- 设备之间使用不同的时序要求(如时钟频率、数据传输速率等)。

2. 请简要描述一种数字信号的电平转换电路。

答:数字信号的电平转换电路通常使用电平转换器来实现。

它将一个设备输出的数字信号从一种电平标准(例如TTL或CMOS)转换为另一种电平标准(例如LVDS或RS-232)。

电平转换电路通常包括电平转换芯片,该芯片具有适当的输入和输出接口以及电平转换功能。

3. 请简要描述一种串行通信协议的接口转换电路。

答:串行通信协议的接口转换电路通常使用串行通信接口芯片来实现。

例如,UART接口转换电路可以将串行数据发送和接收线路的电平转换为与目标设备兼容的电平标准。

该电路还可能涉及波特率转换、数据位数调整等功能,以确保正确的数据传输。

4. 什么是时钟域转换电路?为什么在数字系统中需要进行时钟域转换?答:时钟域转换电路用于在不同的时钟域之间进行数据传输。

在数字系统中,不同的模块可能使用不同的时钟频率或时钟相位,因此可能需要进行时钟域转换。

时钟域转换电路通常包括时钟提取、频率除法、时钟缓冲等组件,以确保数据在不同时钟域之间的正确传输和同步。

IC验证工程师招聘笔试题与参考答案(某大型集团公司)2024年

IC验证工程师招聘笔试题与参考答案(某大型集团公司)2024年

2024年招聘IC验证工程师笔试题与参考答案(某大型集团公司)(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、在集成电路(IC)验证过程中,以下哪个阶段通常不会直接涉及硬件描述语言(HDL)的编写?A、功能验证B、时序验证C、代码覆盖率分析D、功耗验证2、在Verilog HDL中,以下哪个关键字用于定义一个无符号整数类型?A、integerB、unsignedC、realD、bit3、以下关于IC(集成电路)验证的描述,正确的是:A. IC验证主要是通过仿真来验证设计的功能正确性。

B. IC验证过程不需要考虑时序问题。

C. IC验证只需要关注设计的顶层模块。

D. IC验证的结果完全取决于验证环境的设置。

4、在IC验证中,以下哪种验证方法主要用于检查设计中的时序问题?A. 动态仿真验证B. 状态机验证C. 功能仿真验证D. 代码覆盖率分析5、在集成电路(IC)验证过程中,以下哪种技术主要用于验证芯片的行为是否与设计规格相符?A. DFT(Design For Test)B. ATPG(Automatic Test Pattern Generation)C. Formal VerificationD. FPGA Prototyping6、以下哪种验证方法可以检测到设计中的时序错误?A. 动态仿真B. 功能仿真C. 硬件加速仿真D. 性能仿真7、在数字电路中,以下哪种触发器是同步时序逻辑电路中最常用的基本触发器?A、D触发器B、JK触发器C、RS触发器D、T触发器8、以下关于Verilog硬件描述语言中模块定义的说法,正确的是?A、模块名称必须以字母开头B、模块名称可以包含下划线、数字等特殊字符C、模块名称可以与标准库中的模块名称相同D、模块名称在定义时可以不指定返回值类型9、以下哪个不是IC验证常用的验证方法?()A. 仿真验证B. 模拟验证C. 代码覆盖率分析D. 逻辑仿真 10、在UVM(Universal Verification Methodology)中,以下哪个组件不是用于创建测试序列的?()A. sequenceB. driverC. monitorD. scoreboard二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些是IC(集成电路)验证中常用的验证方法?A. 功能仿真B. 逻辑综合C. 信号完整性分析D. 动态功耗分析2、在IC验证流程中,以下哪些步骤属于验证环境的搭建?A. 设计测试用例B. 创建测试平台C. 编写验证脚本D. 实施验证计划3、以下哪些技术是IC验证工程师在芯片设计过程中常用的验证方法?()A. 仿真验证B. 硬件加速验证C. 现场可编程逻辑阵列(FPGA)验证D. 模拟验证E. 系统级验证4、在IC验证过程中,以下哪些工具是常用的?()A. Verilog/VHDLB. SystemVerilogC. UVM(Universal Verification Methodology)D. ModelSimE. VCS5、以下哪些技术是IC(集成电路)验证工程师在设计中常用的验证方法?()A. 仿真验证B. 仿真加速C. 硬件加速D. 实物测试E. 模拟验证6、在IC验证过程中,以下哪些工具是常用的验证语言和描述工具?()A. VerilogB. VHDLC. SystemVerilogD. C/C++E. Python7、关于集成电路(IC)验证,以下哪些是验证工程师常用的验证方法?()A. 仿真验证B. 代码覆盖率分析C. 动态功耗分析D. 硬件在环(HIL)测试8、以下关于Verilog和SystemVerilog的区别,哪些描述是正确的?()A. Verilog是SystemVerilog的超集B. SystemVerilog增加了对系统级设计的支持C. Verilog不支持面向对象编程,而SystemVerilog支持D. Verilog主要用于数字电路设计,而SystemVerilog适用于系统级和硬件描述9、以下哪些是IC验证工程师在验证过程中常用的验证方法?A. 仿真验证B. 系统测试C. 代码覆盖率分析D. 动态功耗分析E. 断言检查 10、以下关于Verilog HDL的描述,正确的是?A. Verilog HDL支持行为建模和结构建模B. Verilog HDL不支持模拟仿真C. Verilog HDL主要用于硬件描述和仿真D. Verilog HDL不支持时序约束三、判断题(本大题有10小题,每小题2分,共20分)1、在数字电路设计中,同步复位比异步复位更易于时序分析和综合,因此在所有情况下都应当使用同步复位而不是异步复位。

IC设计基础笔试面试常见题目(含详细答案)

IC设计基础笔试面试常见题目(含详细答案)

EE 笔试/面试题目集合分类--IC 设计基础模拟电路1、基尔霍夫定理的内容是什么?(仕兰微电子)(1)基尔霍夫电流定律,简记为KCL ,是电流的连续性在集总参数电路上的体现,其物理背景是电荷守恒公理。

基尔霍夫电流定律是确定电路中任意节点处各支路电流之间关系的定律,因此又称为节点电流定律,它的内容为:在任一瞬时,流向某一结点的电流之和恒等于由该结点流出的电流之和;在列写节点电流方程时,各电流变量前的正、负号取决于各电流的参考方向对该节点的关系(是“流入”还是“流出”);而各电流值的正、负则反映了该电流的实际方向与参考方向的关系(是相同还是相反)。

通常规定,对参考方向背离(流出)节点的电流取正号,而对参考方向指向(流入)节点的电流取负号。

(2)第二定律又称基尔霍夫电压定律,简记为KVL ,是电场为位场时电位的单值性在集总参数电路上的体现,其物理背景是能量守恒公理。

基尔霍夫电压定律是确定电路中任意回路内各电压之间关系的定律,因此又称为回路电压定律,它的内容为:在任一瞬间,沿电路中的任一回路绕行一周,在该回路上电动势之和恒等于各电阻上的电压降之和;KVL 定律是描述电路中组成任一回路上各支路(或各元件)电压之间的约束关系,沿选定的回路方向绕行所经过的电路电位的升高之和等于电路电位的下降之和2、平板电容公式(C=εS/4πkd)。

4r o r SS SC ddkdξξξξπ===, 其中,14o kξπ=为真空中的介电常数;r ξ为相对介电常数;S 为平行板的面积; d 为平行板之间的距离;3、最基本的三极管曲线特性。

4、描述反馈电路的概念,列举他们的应用。

(仕兰微电子)负反馈种类:(电压并联反馈(shunt-shunt feedback),电流串联反馈(series-series feedback),电压串联反馈(series-shunt feedback)和电流并联反馈(shunt-series feedback);负反馈的优点:4.1降低放大器的增益灵敏度,因此广泛应用在放大器的设计中(amplifier design);4.2改变输入电阻和输出电阻;4.3改善放大器的线性和非线性失真,因此高质音频放大器通常在power output stage采用负反馈;4.4有效地扩展放大器的通频带,因此负反馈广泛应用在broadband amplifiers中。

IC设计基础笔试面试常见题目(含详细答案)

IC设计基础笔试面试常见题目(含详细答案)

位裕度;米勒补偿属于这种补偿方式;极 补偿的频带宽。
-零点补偿同样会使基本放大电路的频带变窄,但比主极点
6.2 超前补偿
引入相位超前网络, 产生额外的零点 fz 和极点 f2,用其产生的零点 fz 去抵消原系统的次极点 P2,
而 f2 则成为新的次极点(注意 f2>P2),在补偿的过程中原系统的主极点 f1 保持不变;通过这种方式
(1 o) f
f (1 o ) f
f 三者之间的大小比较:
f T f f ,其中 T f
o
f
fT
o
f
5.2 MOSFET transistor
gm 2 I
2I Vov Vov ; Vov
2I ;I
1 Vov2 2
Vt Vt 0
2 F VSB 2 F (体效应); gmb gm ( 0.01~ 0.3)
Vb 需要复杂的电路;
9.1.5 source degeneration 的共源级放大电路
Gm
gm 1 gm RS ,如果 Rs 很大,则 Gm 很稳定,增益 Av 也很稳定;代价是 Av 的减小。
9.2 共漏极放大电路(源跟随器)
AV
gm RS
1 ( gm gmb )RS
上图中 M1 的漏电流受输入直流电平
在列写节点电流方程时,各电流变量前的正、负号取决于各电流的参考方向对
该节点的关系(是 “流入 ”还是 “流出 ”);而各电流值的正、负则反映了该电流的实际方向与参考
方向的关系(是相同还是相反) 。通常规定,对参考方向背离(流出)节点的电流取正号,而
对参考方向指向(流入)节点的电流取负号。
( 2 )第二定律又称 基尔霍夫电压定律 ,简记为 KVL ,是 电场 为位场时 电位 的单值性在集总参

各大电子公司笔试题目ic

各大电子公司笔试题目ic

IC设计基础(流程、工艺、版图、器件)1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA等的概念)。

(仕兰微面试题目)2、FPGA和ASIC的概念,他们的区别。

(未知)答案:FPGA是可编程ASIC。

ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。

根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。

与门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目)5、描述你对集成电路设计流程的认识。

(仕兰微面试题目)6、简述FPGA等可编程逻辑器件设计流程。

(仕兰微面试题目)7、IC设计前端到后端的流程和eda工具。

(未知)8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知)9、Asic的design flow。

(威盛VIA 2003.11.06 上海笔试试题)10、写出asic前期设计的流程和相应的工具。

(威盛)11、集成电路前段设计流程,写出相关的工具。

(扬智电子笔试)先介绍下IC开发流程:1.)代码输入(design input)用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码语言输入工具:SUMMIT VISUALHDLMENTOR RENIOR图形输入: composer(cadence);viewlogic (viewdraw)2.)电路仿真(circuit simulation)将vhd代码进行先前逻辑仿真,验证功能描述是否正确数字电路仿真工具:Verolog: CADENCE Verolig-XLSYNOPSYS VCSMENTOR Modle-simVHDL : CADENCE NC-vhdlSYNOPSYS VSSMENTOR Modle-sim模拟电路仿真工具:***ANTI HSpice pspice,spectre micro microwave: eesoft : hp3.)逻辑综合(synthesis tools)逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再仿真。

IC验证工程师招聘笔试题与参考答案

IC验证工程师招聘笔试题与参考答案

招聘IC验证工程师笔试题与参考答案(答案在后面)一、单项选择题(本大题有10小题,每小题2分,共20分)1、题干:在集成电路(IC)验证过程中,以下哪个不是常用的验证语言?A. VerilogB. VHDLC. SystemVerilogD. C++2、题干:在IC验证中,以下哪种技术不属于仿真加速技术?A. 硬件加速器B. 仿真器内建加速器C. 代码优化D. 硬件描述语言(HDL)规范简化3、在验证过程中,形式验证主要用于:A. 检查电路是否符合时序要求B. 验证RTL代码与门级网表的一致性C. 测试硬件与软件的接口交互D. 进行功能覆盖率分析4、下列哪一项不是常用的硬件描述语言?A. VerilogB. VHDLC. C++D. SystemVerilog5、以下关于数字信号在时序验证中,哪个概念是用来描述信号在特定时刻的稳定性和有效性的?A、时钟域B、时序约束C、信号摆幅D、信号建立和保持时间6、在进行IC验证时,以下哪种技术通常用于检测组合逻辑中的竞争冒险(Race Conditions)?A、静态时序分析B、动态时序分析C、模拟仿真D、逻辑综合7、在IC验证过程中,以下哪种测试方法主要用于检查组合逻辑的正确性?A. 时序仿真B. 功能仿真C. 逻辑综合D. 网络仿真8、在进行IC验证时,以下哪个工具或方法通常用于生成测试向量?A. 硬件加速器B. 动态功耗分析C. 测试向量生成器D. 静态时序分析9、以下哪个不是IC(集成电路)验证中的常用验证方法?A. 仿真验证B. 系统级验证C. 设计规范验证D. 手动测试 10、在IC验证中,以下哪种技术是用来降低仿真运行时间的?A. 硬件加速器B. 仿真压缩技术C. 并行仿真技术D. 仿真优化工具二、多项选择题(本大题有10小题,每小题4分,共40分)1、以下哪些工具或方法常用于IC验证中?()A、Verilog或VHDL仿真B、形式验证C、静态时序分析D、动态功耗分析E、UVM(Universal Verification Methodology)2、在IC验证流程中,以下哪些步骤属于验证计划阶段?()A、定义验证目标和范围B、编写验证环境C、编写测试用例D、执行验证E、验证结果分析3、关于IC验证,以下哪些工具和方法是常用的?()A、Verilog/VHDLB、SystemVerilogC、UVMD、Formal验证E、仿真工具(如VCS、ModelSim)4、以下关于时序验证的说法,正确的是?()A、时序验证关注的是设计中的时序约束是否满足B、时序验证通常使用约束条件来定义时间要求C、时序验证不涉及信号之间的相互作用D、时序验证通常使用波形图来分析时序问题E、时序验证的结果可能包含“时序违例”信息5、以下哪些技术是IC验证工程师在数字电路验证中常用的?()A. Assertion-Based VerificationB. Formal VerificationC. Simulation-Based VerificationD. Power AnalysisE. FPGA Prototyping6、以下哪些特点表明一个IC验证环境是高效的?()A. 能够快速编译验证测试平台(VTP)B. 提供强大的仿真引擎,支持高吞吐量仿真C. 支持多种语言和工具的集成D. 能够自动生成覆盖率报告E. 需要大量的手动设置和配置7、以下哪些技术或方法通常用于IC(集成电路)验证?()A.仿真(Simulation)B.形式验证(Formal Verification)C.静态分析(Static Analysis)D.动态分析(Dynamic Analysis)E.功耗分析(Power Analysis)8、以下哪些工具或软件通常用于IC验证?()A. Verilog/VHDL模拟器B. ModelSimC. SystemVerilogD. UVM(Universal Verification Methodology)E. RTL(Register-Transfer Level)仿真9、关于Verilog语言,以下哪些描述是正确的?A. Verilog是一种硬件描述语言,用于描述数字电路的行为和结构B. Verilog HDL支持时序逻辑和组合逻辑的描述C. Verilog中的reg变量只能用于描述组合逻辑D. Verilog模块可以包含多个端口(ports) 10、在IC验证过程中,以下哪些是常用的验证方法?A. 功能验证B. 性能验证C. 时序验证D. 动态功耗验证三、判断题(本大题有10小题,每小题2分,共20分)1、IC验证工程师在进行功能验证时,可以使用随机测试方法来覆盖所有可能的输入组合。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.setup和holdup时间区别.Answer:建立时间:触发器在时钟沿来到前,其数据输入端的数据必须保持不变的时间保持时间:触发器在时钟沿来到后,其数据输入端的数据必须保持不变的时间2.多时域设计中,如何处理信号跨时域Answer: 情况比较多,如果简单回答的话就是:跨时域的信号要经过同步器同步,防止亚稳态传播。

例如:时钟域1中的一个信号,要送到时钟域2,那么在这个信号送到时钟域2之前,要先经过时钟域2的同步器同步后,才能进入时钟域2。

这个同步器就是两级d触发器,其时钟为时钟域2的时钟。

这样做是怕时钟域1中的这个信号,可能不满足时钟域2中触发器的建立保持时间,而产生亚稳态,因为它们之间没有必然关系,是异步的。

这样做只能防止亚稳态传播,但不能保证采进来的数据的正确性。

所以通常只同步很少位数的信号。

比如控制信号,或地址。

当同步的是地址时,一般该地址应采用格雷码,因为格雷码每次只变一位,相当于每次只有一个同步器在起作用,这样可以降低出错概率,象异步FIFO的设计中,比较读写地址的大小时,就是用这种方法。

如果两个时钟域之间传送大量的数据,可以用异步FIFO来解决问题。

tch与register的区别,为什么现在多用register.行为级描述中latch如何产生的区别不多说。

为什么避免使用latch,因为设计中用latch会使设计后期的静态时序分析变的困难(必须用的地方当然另当别论)。

行为级描述中latch产生的原因:多由于构造组合逻辑电路时,使用if或case语句,没有把所有的条件给足,导致没有提到的条件,其输出未知。

或者是每个条件分支中,没有给出所有输出的值,这就会产生latch。

所以构造组合逻辑电路时,其always语句中的敏感信号必须包括所有的输入端,每个条件分支必须把所有的输出端的值都给出来。

4.BLOCKING NONBLOCKING 赋值的区别Answer: 这个问题可参考的资料很多,讲的都很透彻,可以找一下。

基本用法就是常说的“组合逻辑用BL OCKING,时序逻辑用NONBLOCKIN G”。

5.MOORE 与MEELEY状态机的特征Answer:6.IC设计中同步复位与异步复位的区别Answer: 如果光说概念的话:同步复位在时钟沿采复位信号,完成复位动作。

异步复位不管时钟,只要复位信号满足条件,就完成复位动作。

象芯片的上电复位就是异步复位,因为这时时钟振荡器不一定起振了,可能还没有时钟脉冲。

异步复位很容易受到复位端信号毛刺的影响,比如复位端信号由组合逻辑组成,那组合逻辑输出产生的冒险,就会使触发器错误的复位。

7.实现N位Johnson Counter,N=8.用FSM实现101101的序列检测模块9. 集成电路设计前端流程及工具。

10. FPGA和ASIC的概念,他们的区别11. LATCH和DFF的概念和区别Answer: LATC是H锁存器,DFF是触发器,其电路形式完全不同。

12. 用DFF实现二分频。

Answer: always @(posedge clk)if (reset) beginsel <= 1;clk1 <= 1;clk2 <= 1;endelse beginsel <= ~sel;if (sel)clk1 <= ~clk1;elseclk2 <= ~clk2;end13. 用VERILOG或VHDL写一段代码,实现消除一个glitchAnswer: glitch主要发生在组合逻辑电路输出,可以加double DFF输出稳定信号。

14. 给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)15. 用VERILOG或VHDL写一段代码,实现10进制计数器。

16. 给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。

17. A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0多,那么F 输出为1,否则F为0),用与非门实现,输入数目没有限制18. 负数与正数相乘的问题: 1010(-6)*0010(2)Answer:用补码相乘时应该进行相应的符号扩展,比如上面是4bit相乘,结果应该为8bit。

这样符号扩展后分别为11111010和00000010,然后再用这两个数直接相乘,结果为111110100,取其低8位11110 100,作为-6*2的结果。

这也是个补码形式,再判断一下高位恢复为原码,得到结果。

对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。

2.数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。

比如:设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1.画出fsm(有限状态机)2.用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3.单片机、DSP、FPGA、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题DSP的结构(冯.诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4.信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h(n)的z变换 b.问该系统是否为稳定系统c.写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz'用mos管搭出一个二输入与非门。

用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipelineIRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如a量化误差 b.直方图 c.白平衡1.什么是Setup 和Holdup时间?建立时间(Setup Time)和保持时间(Hold time)。

建立时间是指在时钟边沿前,数据信号需要保持不变的时间。

保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。

如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现metastability的情况。

如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

2什么是竞争与冒险现象?怎样判断?如何消除?在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。

产生毛刺叫冒险。

如果布尔式中有相反的信号则可能产生竞争和冒险现象。

解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

3 用D触发器实现2倍分频的逻辑电路?Verilog描述:module divide2( clk , clk_o, reset);input clk , reset;output clk_o; wire in;reg out ;always @ ( posedge clk or posedge reset)if ( reset) out <= 0;else out <= in;assign in = ~out;assign clk_o = out;endmodule4 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?线与逻辑是两个输出信号相连可以实现与的功能。

在硬件上,要用oc门来实现,由于不用oc门可能使灌电流过大,而烧坏逻辑门。

同时在输出端口应加一个上拉电阻。

5 什么是同步逻辑和异步逻辑?同步逻辑是时钟之间有固定的因果关系。

异步逻辑是各时钟之间没有固定的因果关系。

6 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。

7 你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?12,5,3.3 TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。

CMOS输出接到TTL是可以直接互连。

TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

8 可编程逻辑器件在现代电子设计中越来越重要,请问:你所知道的可编程逻辑器件有哪些?PAL,PLD,CPLD,FPGA。

9 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。

module dff8(clk , reset, d, q);input clk; input reset;input [7:0] d;output [7:0] q;reg [7:0] q;always @ (posedge clk or posedge reset)if(reset) q <= 0;else q <= d;endmodule10 设想你将设计完成一个电子电路方案。

请简述用EDA软件(如PROTEL)进行设计(包括原理图和PCB图)到调试出样机的整个过程。

在各环节应注意哪些问题?电源的稳定上,电容的选取上,以及布局的大小。

11 用逻辑门和cmos电路实现ab+cd12 用一个二选一mux和一个inv实现异或13 给了reg的setup,hold时间,求中间组合逻辑的delay范围。

Delay < period - setup - hold14 如何解决亚稳态亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。

当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。

在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

15 用verilog/vhdl写一个fifo控制器包括空,满,半满信号。

16 用verilog/vddl检测stream中的特定字符串分状态用状态机写。

17 用mos管搭出一个二输入与非门。

18 集成电路前段设计流程,写出相关的工具。

19 名词IRQ,BIOS,USB,VHDL,SDR IRQ: Interrupt ReQuest BIOS: Basic Input Output System USB: Universal Serial Bus VHDL: VHIC Hardware Description Language SDR: Single Data Rate20 unix 命令cp -r, rm,uname21 用波形表示D触发器的功能22 写异步D触发器的verilog module module dff8(clk , reset, d, q); input clk;input reset;input d;output q;reg q;always @ (posedge clk or posedge reset) if(reset) q <= 0;else q <= d;endmodule23 What is PC Chipset?芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。

相关文档
最新文档