计算机组成原理 实验一 熟悉实验环境(Quartus Ⅱ) 实验报告

合集下载

实验一 QUARTUSII原理实验报告

实验一 QUARTUSII原理实验报告

实验一QUARTUSII原理图输入法实验
一,实验目的
熟悉利用Quartusll的原理图输入方法设计简单电子线路,掌握原理图输入法设计思路,掌握层次化设计的方法,并通过8位全家器和5-24线译码器的设计把握利用EDA软件进行电子线路设计的详细流程。

二,实验内容
1,用原理图输入设计一个一位全加器
三,实验原理
1,一个一位全加器可以由两个一位半加器和一个或门构成,先用原理图输入法绘制半加器文件,然后生成半加器模块,保存在当前文件夹。

然后新建一个原理图文件,在当前文件夹中调用2个新生成的半加器模块和一个或门。

然后用导线连接即可。

具体步骤参见课本及半加器和全加器原理图参见课本相关章节。

四,实验设备
Pc机Quartusll软件
五,实验截图
六,实验心得体会。

计算机组成原理实验报告(运算器组成存储器)

计算机组成原理实验报告(运算器组成存储器)

计算机组成原理实验报告(运算器组成存储器)计算机组成原理实验报告(运算器组成、存储器)计算机组成原理实验报告一、实验1quartusⅱ的采用一.实验目的掌控quartusⅱ的基本采用方法。

了解74138(3:8)译码器、74244、74273的功能。

利用quartusⅱ检验74138(3:8)译码器、74244、74273的功能。

二.实验任务熟悉quartusⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。

新建项目,利用原理编辑方式输出74138、74244、74273的功能特性,依照其功能表分别展开仿真,检验这三种期间的功能。

三.74138、74244、74273的原理图与仿真图1.74138的原理图与仿真图74244的原理图与仿真图1.4.74273的原理图与仿真图、实验2运算器组成实验一、实验目的1.掌握算术逻辑运算单元(alu)的工作原理。

2.熟悉简单运算器的数据传送通路。

3.检验4十一位运算器(74181)的女团功能。

4.按给定数据,完成几种指定的算术和逻辑运算。

二、实验电路附录中的图示出了本实验所用的运算器数据通路图。

8位字长的alu由2片74181构成。

2片74273构成两个操作数寄存器dr1和dr2,用来保存参与运算的数据。

dr1接alu的a数据输入端口,dr2接alu的b数据输入端口,alu的数据输出通过三态门74244发送到数据总线bus7-bus0上。

参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到dr1或dr2暂存。

图中尾巴上拎细短线标记的信号都就是掌控信号。

除了t4就是脉冲信号外,其他均为电位信号。

nc0,nalu-bus,nsw-bus均为低电平有效率。

三、实验任务按右图实验电路,输出原理图,创建.bdf文件。

四.实验原理图及仿真图给dr1取走01010101,给dr2取走10101010,然后利用alu的直通功能,检查dr1、dr2中是否保存了所置的数。

quartus ii实验报告

quartus ii实验报告

quartus ii实验报告Quartus II实验报告引言:Quartus II是一款由Intel公司开发的集成电路设计软件,广泛应用于数字逻辑设计和FPGA开发领域。

本实验报告旨在介绍Quartus II的基本功能和使用方法,并通过实际案例展示其在数字逻辑设计中的应用。

一、Quartus II概述Quartus II是一款功能强大的集成电路设计软件,它提供了从设计到验证的全套工具。

Quartus II支持多种编程语言,如VHDL和Verilog,使得用户可以根据自己的需求选择适合的语言进行设计。

此外,Quartus II还提供了丰富的库和模块,方便用户进行快速原型开发和验证。

二、Quartus II的基本功能1. 设计入口Quartus II提供了多种设计入口,包括图形界面、命令行和脚本等方式。

用户可以根据自己的习惯和需求选择适合的方式进行设计。

图形界面友好易用,适合初学者;命令行和脚本则更适合有一定经验和需求的用户。

2. 设计编辑Quartus II提供了强大的设计编辑功能,用户可以在其中创建和编辑设计模块、信号线和电路连接等。

设计编辑界面清晰简洁,用户可以方便地进行设计布局和调整。

3. 仿真和验证Quartus II内置了仿真和验证工具,用户可以通过仿真来验证设计的正确性和性能。

仿真工具支持波形查看和信号分析等功能,帮助用户进行设计调试和优化。

4. 综合和优化Quartus II具备强大的综合和优化功能,可以将设计代码转化为硬件描述,进而生成逻辑电路。

综合工具会根据用户的约束条件和优化目标,自动进行逻辑优化和资源分配,提高设计的性能和效率。

5. 布局和布线Quartus II提供了先进的布局和布线工具,可以将逻辑电路映射到实际的FPGA芯片上。

布局工具可以根据用户的约束条件和性能要求,自动进行电路元件的位置分配;布线工具则负责将电路元件之间的连接线路进行规划和布线。

6. 下载和调试Quartus II支持将设计文件下载到目标FPGA芯片上,并提供了调试工具来验证和调整设计的正确性。

计算机组成原理实验报告1

计算机组成原理实验报告1

计算机组成原理实验报告实验:4位2进制计数器姓名:王雄专业:数媒2班学号:110511623指导老师:蒋丽华【实验环境】1. Windows 2000 或 Windows XP2. QuartusII9.1 sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。

【实验目的】1、熟悉VHDL 语言的编写。

2、验证计数器的计数功能。

【实验要求】本实验要求设计一个4位二进制计数器。

要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F 的数据显示。

(其次要求下载到实验版实现显示)【实验原理】计数器是一种用来实现计数功能的时序部件,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。

计数器由基本的计数单元和一些控制门所组成,计数单元则由一系列具有存储信息功能的各类触发器构成,这些触发器有RS 触发器、T 触发器、D 触发器及JK 触发器等。

计数器在数字系统中应用广泛,如在电子计算机的控制器中对指令地址进行计数,以便顺序取出下一条指令,在运算器中作乘法、除法运算时记下加法、减法次数,又如在数字仪器中对脉冲的计数等等。

计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等,其最基本的分类如下:计数器的种类⎪⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎪⎨⎧⎪⎩⎪⎨⎧⎪⎩⎪⎨⎧⎩⎨⎧进制计数器十进制计数器二进制计数器进制可逆计数器减法计数器加法计数器功能异步计数器同步计数器结构N 、、、321 下面对同步二进制加法计数器做一些介绍。

数电quartus实验报告

数电quartus实验报告

可编程逻辑器件FPGA实验一组合逻辑电路设计1、掌握中规模数字集成器件的逻辑功能及使用方法2、熟悉组合逻辑电路的设计方法3、了解数字可编程器件的应用设计4、学会QUARTUS软件的基本使用方法二.实验器材1、软件:QUARTUSII2、硬件:DE-2实验板,PC机三.实验原理利用74283芯片进行加减法运算,(M控制加减法,结果为负数时CO和M的异或输出为1,接二极管亮)并再利用另外一个74283芯片将运算得到的补码输出转换为原码。

接着利用7485数据比较器进行数据比较(与9比较),当输出小于9时,利用7485 的AGBO的输出为低电平控制十位输出为0,并控制个位输出为原码输出减0的结果;当输出大于9时AGBO输出为高电平,其可控制十位输出为1,个位输出为原码输出减10的结果。

最后十位输出和个位均接7447进行显示。

四.实验内容1、设计一个两组四位二进制数的加减运算显示电路。

要求:一个控制加减运算的功能按键;两数相加的绝对值不大于15;用两个七段数码管显示算术运算结果(0~15);当运算结果为负数时,红色发光二极管亮。

在QUARTUSII中进行:(1)电路设计(2)功能仿真(3)时序仿真2、下载DE-2板验证设计结果。

五.实验总结1、实验故障及解决方法①电脑无法连接DE-2板可能是数据线的问题。

②DE-2板无法使用更换DE-2板。

③输出结果不对仔细检查并修改电路设计,必要时寻求同学或老师的帮助。

2、实验体会完成实验的重点是理解实验内容要求,并通过对quartus ii 的学习,根据自己思路自行设计或者和同学共同设计电路原理图。

六.思考题1、当运算结果大于15时,显示译码电路如何设计?可以将运算结果输出用7485与15比较,把AGBO输出加非门后再与VCC与门输入两个数码管的BIN端。

当结果大于15时,两个数码管全灭,结果小于等于15时,不受影响。

2、如何实现两个一位十进制数的加减运算电路?讲十进制转化为四位二进制就可以用上述电路原理图进行加减法运算。

计算机组成原理实验报告完整版

计算机组成原理实验报告完整版

计算机组成原理实HEN system office room [HEN 16H-HENS2AHENS8Q8-HENH1688]南通大学计算机科学与技术学院上机实验报告姓名:邓啥班级:软件工程142一、目的及要求1.熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;2.熟悉半导体存储器存储和读出数据的过程;3.了解使用半导体存储器电路时的定时要求。

二、环境(软、硬件平台)硬件:计算机一台软件:Quartus II及以上版本三、内容及步骤(包括程序流程及说明)1.利用Quartus II器件库提供的参数化存储单元lpm.rom设计一个山128><8位的ROM (地址空间:OOH'7FH)构成的只读存储器系统。

(1)设计实验电路图,在Quartus II的编辑环境下,进行原理图的输入和编辑工作,要求编译通过,无错误。

(2)利用・Mf文件,对ROM的存储单元00H'05H进行初始化。

(3)给定ROM存储区的地址:OOH〜O5H,读ROM存储单元。

要求通过分析仿真波形,检查数据的正确性。

记录仿真波形、分析方法、分析过程和分析结果。

•首先利用器件库提供的存储单元lpm_rom器件设计一个128X8位的ROM只读存储器,注意这里要关联m辻文件;•设计的电路图如下:给入的八位地址的最高位作为器件脉冲端的控制信号,其余七位作为ROM的地址输入。

利用・m辻文件,对ROM的存储单元OOH〜O5H进行初始化如上面的截图所示,每次在重新写入数据时都要更新重新关联文件;然后设汁出仿真波形:2.利用Quartus H器件库提供的参数化存储单元lpm_ram_dq,设计“一个山128X8位的RAM (地址空间:80H、FFH)构成的随机存储器系统。

(1)设计实验电路图,在Quartus II的编辑环境下,进行原理图的输入和编辑工作,要求编译通过,无错误。

(2)给RAM的存储单元80H、85H写入数据。

Quartus II 软件操作实验报告

Quartus II 软件操作实验报告

实验题目:Quartus II 软件操作一、实验目的(1)了解并掌握QuartusII软件图形输入的使用方法。

(2)了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。

二、实验内容及步骤1.实验内容:本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。

2.实验步骤:在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。

原理图第1步:打开QuartusII软件,新建一个空项目。

选择菜单File->New Project Wizard,进入新建项目向导,填入项目的名称“hadder”。

第2步:单击Next按钮,进入向导的下一页进行项目内文件的添加操作,或直接点击Next按钮。

第3步:选择CPLD/FPGA器件,选择芯片系列为“MAX II”,型号为“EPM240T100C5”。

向导的后面几步不做更改,直接点击Next即可,最后点击Finish结束向导。

第4步:新建一个图形文件。

选择File->New命令,选择“Diagram/Schematic File”,点击OK按钮完成。

将该图形文件另存为hadder.bdf。

第5步:在图形编辑窗口的空白处双击,打开符号库窗口。

选择好需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单击左键即在窗口内放置该符号。

分别放置与门“7408”和异或门“xor”。

第6步:在编辑窗口中放入两个输入符号,命名为a和b。

放置2个输出“output”符号,并分别命名为s、cout。

将各符号连接起来。

第7步:保存图形文件,进行语法检查和编译。

在信息(Messages)窗口中显示检查结果。

第8步:仿真。

执行File->New命令,选择“Other Files”选项页中 Vector Waveform File,并单击OK按钮,打开矢量波形编辑器窗口。

另存矢量波形文件为hadder.vwf。

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告(总结报告范文模板)

《计算机组成原理》运算器实验报告实验目录:一、实验1 Quartus Ⅱ的使用(一)实验目的(二)实验任务(三)实验要求(四)实验步骤(五)74138、74244、74273的原理图与仿真图二、实验2 运算器组成实验(一)实验目的(二)实验任务(三)实验要求(四)实验原理图与仿真图三、实验3 半导体存储器原理实验(一)实验目的(二)实验要求(三)实验原理图与仿真图四、实验4 数据通路的组成与故障分析实验(一)实验目的(二)实验电路(三)实验原理图与仿真图五、本次实验总结及体会:一、实验1 Quartus Ⅱ的使用(一)实验目的1.掌握Quartus Ⅱ的基本使用方法。

2.了解74138(3:8)译码器、74244、74273的功能。

3.利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。

(二)实验任务1、熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。

2、新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。

(三)实验要求1.做好实验预习,掌握74138、74244、74273的功能特性。

2.写出实验报告,内容如下:(1)实验目的;(2)写出完整的实验步骤;(3)画出74138、74244和74273的仿真波形,有关输入输出信号要标注清楚。

(四)实验步骤1.新建项目:首先一个项目管理索要新建的各种文件,在Quartus Ⅱ环境下,打开File,选择New Project Wizard后,打开New Project Wizard:Introduction窗口,按照提示创建新项目,点击“Next”按钮,再打开的窗口中输入有关的路径名和项目名称后,按“Finish”按钮,完成新建项目工作。

2.原理图设计与编译:原理图的设计与编译在Compile Mode(编译模式)下进行。

2.1.新建原理图文件打开File菜单,选择New,打开“新建”窗口。

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验

《FPGA系统设计》实验报告》QuartusII软件入门及组合逻辑电路设计实验
一.实验目的
(1)熟悉QuartusII的原理图设计流程的全过程。

(2)学习简单组合电路的设计方法、输入步骤。

(3)掌握原理图层次化设计方法。

(4)学习EDA设计的仿真和硬件测试方法。

二.实验要求
十进制计数器的设计
设计含有时钟使能的两位十进制计数器,主要通过十进制计数器74160和其他辅助元件来完成,如图1.1所示为两位十进制计数器。

图1.1 用74160设计一个有时钟使能的两位十进制计数器
三.实验操作步骤
(1). 新建项目工程:COUNTER
(2). 新建设计文件:File——New——Block Diagram/Schematic
(3). 工程的编译和综合:通过编译来检查设计文件是否存在错误。

(4). 管脚的分配与下载:在Location中分别将引脚分配芯片引脚并进行全编译,将USB-Blaster下载器与电脑相连,安装好驱动程序,将编译好的文件下载到芯片中,点击Programmer—Hardware Setup设置下载器的驱动程序,在Hardware Setup对话框中选择USB-Blaster0,点击start可完成程序下载,Progress中显示“100%successful”即为下载成功。

四.实验数据结果。

计算机组成原理Quartus Ⅱ的使用的实验报告

计算机组成原理Quartus Ⅱ的使用的实验报告

计算机组成原理Quartus Ⅱ的使用的实验报告
南通大学计算机科学与技术学院上机实验报告
课程名称:计算机组成原理上机日期:2014年姓名:
实验名称:Quartus Ⅱ的使用成绩:
实验步骤:创建波形文件进行仿真分析,对于输入端ABC设置不同的初始值进行测试,对仿真波形及译码的结果进行分析,ABC的初始值从000~111,共有8种,译码器的输出低电平有效的分别从Y0变化至Y7
2.利用Quartus Ⅱ验证74244b的功能。

实验电路图如下:
实验步骤:缓存器74244b的AGN、BGN端低电平有效,输入和输出都采用总线式接法,对于A[4..1]分别设置初始值0000~1111,运行仿真波形观察AY[4..1]的结果,两者应该一致。

3.利用Quartus Ⅱ验证74273b的功能。

实验电路图如下:
实验步骤:设置寄存器74273b的各端口取值,CLRN接VCC,时钟CLK上升沿有效,输入和输出同样采用总线式接法,测试时D[8..1]的取值为0000 0000~0000 1000,寄存器将在每个时钟上升沿时被触发,写入新的数据。

四、运行结果
1.验证74138(3:8)译码器的功能,运行仿真波形如下:
2. 验证缓存器74244b的功能,运行仿真波形如下:
3. 验证缓存器74273b的功能,运行仿真波形如下:。

Quartus II实验报告

Quartus II实验报告

××××大学实验报告自学院(系)专业班成绩评定实验题目:第周星期一、实验目的1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使用方法。

2. 掌握用中规模继承电路构成逻辑电路的设计方法。

3. 了解EDA软件平台Quartus II的使用方法及主要功能。

二、预习要求1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。

2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。

3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。

三、实验基本原理1.译码器译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。

译码器按功能可分为两大类,即通用译码器和显示译码器。

通用译码器又包括变量译码器和代码变换译码器。

变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。

这种译码器可称为唯一地址译码器。

如3线—8线、4线—16线译码器等。

显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。

如BCD-七段显示译码器等。

2.数据选择器数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。

因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。

一般数据选择器有n 个地址输入端,2n个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。

目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。

3.计数器计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。

74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。

Quartus II 软件操作实验报告

Quartus II 软件操作实验报告

实验题目:Quartus II 软件操作一、实验目的(1)了解并掌握QuartusII软件图形输入的使用方法。

(2)了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。

二、实验内容及步骤1.实验内容:本实验通过简单的例子介绍FPGA开发软件QuartusII的使用流程,包括图形输入法的设计步骤和仿真验证的使用以及最后的编程下载。

2.实验步骤:在QuartusII中通过原理图的方法,使用与门和异或门实现半加器。

原理图第1步:打开QuartusII软件,新建一个空项目。

选择菜单File->New Project Wizard,进入新建项目向导,填入项目的名称“hadder”。

第2步:单击Next按钮,进入向导的下一页进行项目内文件的添加操作,或直接点击Next按钮。

第3步:选择CPLD/FPGA器件,选择芯片系列为“MAX II”,型号为“EPM240T100C5”。

向导的后面几步不做更改,直接点击Next即可,最后点击Finish结束向导。

第4步:新建一个图形文件。

选择File->New命令,选择“Diagram/Schematic File”,点击OK按钮完成。

将该图形文件另存为hadder.bdf。

第5步:在图形编辑窗口的空白处双击,打开符号库窗口。

选择好需要的符号后,单击OK按钮,界面将回到原理图编辑界面,然后单击左键即在窗口内放置该符号。

分别放置与门“7408”和异或门“xor”。

第6步:在编辑窗口中放入两个输入符号,命名为a和b。

放置2个输出“output”符号,并分别命名为s、cout。

将各符号连接起来。

第7步:保存图形文件,进行语法检查和编译。

在信息(Messages)窗口中显示检查结果。

第8步:仿真。

执行File->New命令,选择“Other Files”选项页中 Vector Waveform File,并单击OK按钮,打开矢量波形编辑器窗口。

另存矢量波形文件为hadder.vwf。

计算机组成原理实验报告2范文

计算机组成原理实验报告2范文

实验二一位全加器实验姓名:王雄学号:专业:数媒2班【实验环境】1. Windows 2000 或 Windows XP2. QuartusII、GW48-PK2或DE2-115计算机组成原理教学实验系统一台,排线若干。

【实验目的】1、熟悉原理图和VHDL语言的编写。

2、验证全加器功能。

【实验原理】设计一个一位全加器,能完成两个二进制位的加法操作,考虑每种情况下的进位信号,完成8组数据的操作。

【实验步骤】1.1建立工程项目1.1.4 原理图设计新建项目后,就可以绘制原理图程序了。

下面以一位全加器如图1-12所示为例,讲解原理图的编辑输入的方法与具体步骤。

图1-12 一位全加器原理图(1)执行菜单“File”→“New…”,或在工具栏中单击图标,弹出如图1-13所示的“New”对话框。

在此对话框的“Design Files”项中选择“Block Diagram/Schematic File”,在单击“OK”按钮,QuartusⅡ10.0的主窗口进入如图1-14所示的原理图工作环境界面。

图1-13 “New”对话框(2)在如图1-14所示的原理图工作环境界面中单击图标或在原理图编辑区的空白处双击鼠标或在原理图编辑区的空白处右键单击在弹出的菜单中选择“Insert”中的任意一个,弹出如图1-15所示的元件输入对话框,在“Name”栏中直接输入所需元件名或在“Libraries: ”的相关库中找到合适的元件,再单击“OK”按钮,然后在原理图编辑区中单击鼠标左键,即可将元件调入原理图编辑区中。

为了输入如图1-12所示的原理图,应分别调入and2、xor2、or3、input、output。

对于相同的器件,可通过复制来完成。

例如3个and2门,器操作方法是,调入一个and2门后,在该器件上单击鼠标右键,在弹出的菜单中选择“Copy”命令将其复制,然后在合适的位置上右键,在弹出的菜单中选择“Paste”命令将其粘帖即可。

Quartus II 实验报告

Quartus II 实验报告

Quartus II 实验报告时间:2014-12-21 地点:行政楼202机房指导老师:王本有一、实验目的1、熟悉Quartus II的软件的基本操作。

2、使用Quartus II软件绘制简单原理图电路。

3、使用Quartus II进行VHDL的组合逻辑电路设计。

二、实验内容1、先打开Quartus II软件,点File菜单→new→Device Design File→ VHDL File,新建一个新的VHDL空白文件,在这个新弹出的空白窗口里输入一个四选一电路的VHDL程序,输入完毕后点击File菜单→Save as…,在弹出的窗口里选择一个纯英文路径,保存刚才写好的VHDL文件,此处的VHDL文件里面电路的名字MUX41要与文件的保存名字一致,点击保存。

然后会弹出一个Do you want to creat a new project with this file?的对话框,点击Yes按钮,会弹出新建工程页面。

此处若要详细对芯片的选择进行设置可以点击Next逐步选择,也可直接选择Finish使用默认选项。

点击Finish,完成新工程的创建。

编写好VHDL后,对VHDL进行编译,若有错误,可双击错误选项进行修改,通常只需修改第一项后继续编译,修改完成后如下图所示:图01-01 四选一VHDL程序程序编译完成没有出错后,就可以进行波形仿真。

点击File菜单→new→Other Files→Vector Waveform File,新建一个波形仿真窗口,在新弹出来的窗口空白处点击鼠标右键,弹出一个菜单,选择Insert Node or Bus…选项,在弹出的窗口中选择Node Finder…,然后点击新窗口中的List按钮把VHDL中的引脚全部列出来,然后点击>>按钮把引脚导入到波形仿真窗口中,点击OK。

此时波形仿真窗口出现多个引脚,点击保存将波形仿真也保存到刚才VHDL文件的相同路径下,名字也为MUX41,。

《计算机组成原理》实验报告一

《计算机组成原理》实验报告一

《计算机组成原理》实验报告一一、实验目的:编写程序、上机调试、运行程序是进一步学习和掌握汇编语言程序设计的必要手段。

通过本次实验,学习、掌握运行汇编程序的相关知识。

二、实验内容:1、熟悉实验用微机的软、硬件配置(1)硬件:Intel Celeron 500GHz CPU、128M内存(8M作共享显存)、intel810芯片主板、集成i752显卡、maxtro20G硬盘、ps/2接口鼠标、PS/2接口键盘。

(2)软件:DOS 操作系统Windows98 seMASM汇编语言程序2、熟悉运行汇编语言所需的应用程序汇编程序使MASM连接程序使用LINK程序调试程序使用DEBUG程序3、熟悉汇编语言源程序上机操作过程(1)编辑源文件(选择可使用的文本编辑器)(2)汇编源程序文件(3)连接目标文件(4)运行可执行文件4、汇编操作举例用edit编辑myprog.asm文件;(见下图)用MASM.exe编译myprog.asm生成myprog.obj文件;C:\masm\bin> masm.exe由图中可以看出:0 个警告错误0个严格错误汇编通过,生成mygrog.obj目标文件(如果有严格错误,汇编不能通过,必须返回编辑状态更改程序。

)用link.exe命令链接myhprog.obj生成myprog.exe文件!C:\masm\bin> link.exeC:\masm\bin> myprog.exe运行程序结果为:屏幕显示“Hi! This is a dollar sign terminated string.”三、实验总结:1、可以在DOS或Windows状态编辑汇编源程序2、可以使用EDIT 或记事本编辑汇编源程序,源程序必须以.asm为扩展名。

在记事本中保存文件时,可以加双引号“myprog.asm”,文件名就不会出现myprog.asm.txt的错误3、熟悉相关的DOS 命令cd 进入子目录mkdir 建立子目录xcopy *.* /s 拷贝当前目录下所有文件及子目录format a: 格式化A盘4、在Windows 系统下运行汇编程序,有时会有问题,建议大家熟悉DOS命令,DOS编辑工具,在DOS状态下运行汇编程序。

计算机组成原理实验报告5

计算机组成原理实验报告5

计算机组成原理实验5【实验环境】1. Windows 2000 或 Windows XP2. QuartusII9.1 sp2、DE2-115计算机组成原理教学实验系统一台,排线若干。

【实验目的】1、熟悉VHDL语言的编写。

2、验证计数器的计数功能。

【实验要求】本实验要求设计一个4位二进制计数器。

要求在时钟脉冲的作用下,完成计数功能,能在输出端看到0-9,A-F的数据显示。

(其次要求下载到实验版实现显示)【实验原理】名词解释1.计算机系统:由硬件和软件两大部分组成,有多种层次结构。

2.主机:CPU、存储器和输入输出接口合起来构成计算机的主机。

3.主存:用于存放正在访问的信息4.辅存:用于存放暂时不用的信息。

5.高速缓存:用于存放正在访问信息的付本。

6.中央处理器:是计算机的核心部件,由运算器和控制器构成。

7.硬件:是指计算机实体部分,它由看得见摸得着的各种电子元器件,各类光、电、机设备的实物组成。

软件:指看不见摸不着,由人们事先编制的具有各类特殊功能的程序组成。

8.系统软件:又称系统程序,主要用来管理整个计算机系统,监视服务,使系统资源得到合理调度,高效运行。

应用软件:又称应用程序,它是用户根据任务需要所编制的各种程序。

9.源程序:通常由用户用各种编程语言编写的程序。

目的程序:由计算机将其翻译机器能识别的机器语言程序。

10.总线:是连接多个部件的信息传输线,是各部件共享的传输介质。

11.系统总线:是指CPU、主存、I/O设备(通过I/O接口)各大部件之间的信息传输线。

通信总线:是指用于计算机系统之间或者计算机系统及其他系统(如控制仪表、移动通信)之间的通信的线路。

按传送方式分并行和串行。

串行通信是指数据在单条1位宽的传输线上,一位一位的按顺序分时传送。

并行通信是指数据在多条并行1位宽的传输线上,同时由源传送到目的地。

12.带宽:单位时间内可以传送的最大的信息量。

13.机器字长:是指CPU一次并行处理数据的位数,通常及CPU的寄存器位数有关。

计算机组成原理Quartus Ⅱ的使用的实验报告

计算机组成原理Quartus Ⅱ的使用的实验报告
计算机组成原理Quartus Ⅱ的使用的实验报告
计算机组成原理Quartus Ⅱ的使用的实验报告
实验步骤:创建波形文件进行仿真分析,对于输入端ABC设置不同的初始值进行测试,对仿真波形及译码的结果进行分析,ABC的初始值从000~111,共有8种,译码器的输出低电平有效的分别从Y0变化至Y7
2.利用Quartus Ⅱ验证74244b的功能。
四、运行结果
1.验证74138(3:8)译码器的功能,运行仿真波形如下:
2.验证缓存器74244b的功能,运行仿真波形如下:
3.验证缓存器74273b的功能,行仿真波形如下:
五、问题及心得
通过这次实验,我意识到实践与理论的差距,虽然已经知道74138、74244b和74273b的功能,但是在实验时还是出现了各种各样的问题,如何新建文件,新建波形文件,如何使用总线,如何转换Compile Mode(编译模式)和Simulate Mode(仿真模式),如何在计算机中找到保存好的数据,如何消除毛刺现象,正是在不断的修改中对Quartus Ⅱ的使用愈加熟悉,还有对芯片的功能更多了一分了解,通过实验更能明白课堂理论的抽象涵义,知道缓存器,寄存器的功能。只有多动手才会更加熟练,操作实践能力才会提高,这是计算机行业的人所必备的专业能力,我以后多多上机实践操作,锻炼自己的能力。
实验电路图如下:
实验步骤:缓存器74244b的AGN、BGN端低电平有效,输入和输出都采用总线式接法,对于A[4..1]分别设置初始值0000~1111,运行仿真波形观察AY[4..1]的结果,两者应该一致。
3.利用Quartus Ⅱ验证74273b的功能。
实验电路图如下:
实验步骤:设置寄存器74273b的各端口取值,CLRN接VCC,时钟CLK上升沿有效,输入和输出同样采用总线式接法,测试时D[8..1]的取值为0000 0000~0000 1000,寄存器将在每个时钟上升沿时被触发,写入新的数据。

FPGA实验一 QUARTUS II入门实验报告原版

FPGA实验一  QUARTUS II入门实验报告原版

得分:数字系统设计课程实验实验一QUARTUS II入门1位半加器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity h_add isport(a,b:in std_logic;co,so:out std_logic);end h_add;architecture a of h_add isbeginco<=a and b;so<=(a xor b);end a;1位半加器真值表:a b so co0000011010101101 1位半加器波形仿真:1位全加器原理图1位全加器真值表:ain bin cin cout sum 0000000101010010111010001101101101011111 1位全加器仿真结果:三位全加器原理图三位全加器真值表:a b sa2a1a0b2b1b0c0c1S2S1S0 00000000000 00100100010 010******** 01101100110 10010001000 10110101010 11011001100 11111101110三位全加器仿真结果:锁定引脚:端口引脚实验板上名称端口引脚实验板上名称端口引脚实验板上名称a(2)pin_28rigrht b(2)130exit c(1)69LED5 a(1)132up b(1)129menu s(2)64LED2 a(0)131left b(0)75down s(1)67LED3 c(0)不接s(0)72/68LED4/6根据实际操作验证,【红芯电子】RCQ208_V3开发板原理图中LED4与LED6互换了位置,正确应为pin72对应LED4;pin68对应LED6。

引脚接通时LED4亮同时按下up和menu,LED2和LED4亮然后完成真值表验证:(注意:在实验板中,按键按下去是0,没按下去是1。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.单击 动打开。
下载按钮或是使用 Tools->Programmer 命令,编程器窗口自
2.在图中,单击“Start”按钮,计算机就开始下载编程文件,开始下载后, 屏幕上的进度条以百分数表示下载进度。下载完成后如下图所示。
注意:下载前应检查窗口中的欲下载的文件名、器件型号和下载模式是否正 确,硬件编程器是否存在等,只有上述正确才可以开始下载。
大学实验报告(附页)
(2)在上图的Name中输入编辑框中输入not后,单击“Ok”按钮,弹出下图所示 窗口。
(3)此时可以看到光标上站着被选的符号(反相器),将其移动到合适的位 置单击鼠标左键,使其固定。
(4)重复(1)、(2)、(3)三个步骤,给图中放置一个input和一个output符号。
大学实验报告(附页)
5.保存文件。 (1)单击保存文件按钮 ,弹出如图的对话框。 (2) “文件名(N)”的文本编辑框中为 MY_NOT,单击“保存”按钮即可已完成的 设计。
大学实验报告(附页)
1.1.3 目标器件选择 对于进行的设计项目通常需要设计人员自己指定欲使用的目标器件型号,选 择目标器件的步骤如下: 1.单击Assignments菜单,选中 Device……选项。 2.在Family列表中选择器件系列,在 Available device 框中选择器件型号, 本实验使用的器件系列:Cyclong II,器件型号:EP2C8Q208C8,选择无误后单 击“OK” 按钮返回。
首先进入 QuartusII软件 1.1.1 建立新项目 QuartusⅡ软件的工作对象是项目,一个项目(Project)是一个系统设计的 总和,包含了所有的子设计文件和设计项目中的所有辅助文件,所以在进行一个 逻辑设计时,首先要指定该设计的项目名称,对于每个新的项目应该建立一个单 独的子目录,以后所有与该项目有关的文件都将存在这个子目录下。
4. 引脚全部指定完毕之后,需重新进行一次编译,如正确将得到下图结果。
大学实验报告(附页)
1.1.6 编程下载 使用 QuartusⅡ软件完成设计项目的编译之后,将产生以目标器件的编程器 对象文件(.pof)或SRAM对象文件(.sof)的形式保存的文件,QuartusⅡ软件 的编程器(Programmer)使用该文件对器件进行编程或配置。
大学实验报告(附页)
2. 在上图中单击“确定”按钮后,进入下图所示窗口。在该图中显示了编译 时的各种信息,其中包括警告和出错信息。根据信息提示,如果有错,则再做相 应的修改。重复步骤 1,直到没有错误提示为止。
1.1.5 引脚分配 在选择好合适的目标器件,完成设计的分析综合过程并得到工程的数据库文 件之后,需要对设计中的输入、输出引脚指定具体的器件引脚号码,指定引脚号 码称为引脚分配或引脚锁定。 1.单击菜单Assignments命令,在弹出的下拉菜单中选择Pins选项,进入如 下图所示引脚分配窗口。
3.连线。 (1)如果需要连接两个端口,可将鼠标移到其中一个端口,这时鼠标自动变 为 现状,然后一直按住鼠标左键并将其拖到第二个端口,待连接点上出现蓝色 的小方块后再释放鼠标左键,即可看到在两个端口之间有一条线生成。 (2)重复步骤(1)的方法,将反相器和output连起来。完成所有连线的电路 图如下图所示。 4.为输入/输出端口命名。 双击pin_name使其衬底变黑后,再键入信号名 A 并回车确认。输出端口的 标记方法与此相同。本例中将输出信号命名为 B。
2.选择后弹出符号图保存窗口,确定后弹出生成成功的提示。 3.单击“确定”按钮,完成了该设计的符号图生成。
五、 实验结果分析
六、 实验心得 通过本次实验,掌握了
大学实验报告(附页)
1.1.2 建立原理图文件 1. 打开原理图编辑器。在图中,单击“File”菜单→单击 New 选项(快捷键: Ctrl+N),弹出如图所示对话框。在该对话框中,双击“Block Diagram/Schematic File”选项,打开原理图编辑器。
大学实验报告(附页)
2. 添加元件。 (1)在上图中,双击图形编辑窗的空白处,弹出如下图所示窗口。
大学实验报告
成绩
课程名称 计算机组成原理 指导教师
实验日期 2020
院(系) 计算机学院 专业班级
实验地点
学生姓名
学号
同组人
实验项目名称
实验一 熟悉实验环境
一、 实验目的和要求
实验目的: 1. 熟悉 QuartusII 环境; 2. 学习在 QuartusII 中新建项目、文件、编译、仿真。 3. 学习使用组成原理实验箱运行 QuartusII 的项目。
1.1.7 符号图的生成
在层次化设计中,一个项目工程往往是另外一个项目工程的子项目,该子项
目在总的工程项目中只是一个实现某种功能的符号图。因此,在完成一个子项目
工程时,要产生一个可供顶层项目工程使用的符号图。
大学实验报告(附页)
1.单击“File”菜单,选择 Create/Update->Create Symbol File For Current File 命令。
大学实验报告(附页)
2. 在上图中选中引脚“A”,双击“Location”列的蓝色矩形框(与本引脚处于 同一行),在弹出的引脚列表中选择合适的引脚,也可直接键入引脚号码,如下 图所示。
3. 重复步骤 2,完成所有引脚的指定,如上图所示,本例中输入A接开关 K0(77pin),输出B接发光二极管 LD0(34pin),保存引脚分配结果,退出引脚分 配界面。
大学实验报告(附页)
3.在上图还可以单击“Device and Pin Options”按钮,在弹出的“Device and PinOptions”窗口中,单击“Unused Pins”对未使用引脚进行处理。
4.选择“As input tri-stated”(避免未使用引脚对系统中其他元器件造成 影响,保证系统可靠工作,一般可将未使用引脚设定为三态输入方式)后,单击 “确定”按钮,返回上图所示窗口。
实验要求: 1.学习 Quartus II 软件的使用方法。 2. 熟悉实验箱,掌握EDA设计的原理。
二、 实验原理
பைடு நூலகம்
根据指导书第一章熟悉实验软硬件环境,完成反相器或异或门设计。
三、 主要仪器设备
1. 操作系统为WINDOWS的计算机一台; 2. 数字逻辑与计算机组成原理实验箱一台;
四、 实验方法与步骤
本例中,编程文件为 MY_NOT.sof,它是由 QuartusII 在编译时自动生成的; 以后的实验中下载模式全部选择JTAG。
3.在图中,如系统没有找到硬件编程器,则需要自行添加。添加硬件编程器
的方法是单击
按钮,按图所示窗口设置即可。
4.点击“mode”下拉窗口,选择 JTAG 项即可。 5.拨动开关 K0,即可通过发光二极管 LD0 验证设计结果。
1.1.4 设计项目的编译 QuartusⅡ软件的编译器包括多个独立的模块。各模块可以单独运行,也可以 选择Processing->Start Compilation 命令启动全编译过程。 1.单击水平工具条上的编译按钮(形似向右的小三角),或者使用Processing 菜单中的 Start Compilation 选项开始编译,并伴随着进度不断地变化屏幕, 编译完成后的窗口如图所示。
相关文档
最新文档