60进制加法计数器程序

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

LIBRARY Ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_unsigned.ALL;

ENTITY count60 IS

PORT(en,clk: IN STD_LOGIC;

qa: out STD_LOGIC_VECTOR(3 DOWNTO 0);

--个位数计数

qb: out STD_LOGIC_VECTOR(2 DOWNTO 0));

--十位数计数

END count60;

ARCHITECTURE a1 OF count60 IS

BEGIN

process(clk)

variable tma: STD_LOGIC_VECTOR(3 DOWNTO 0);

variable tmb: STD_LOGIC_VECTOR(2 DOWNTO 0);

begin

if clk'event and clk='1' then

if en='1' then

if tmb="101" and tma="1001" then tma:="0000"; tmb:="000";

Elsif tma="1001" then tma:="0000";tmb:=tmb+1;

else tma:=tma+1;

end if;

end if;

end if;

qa<=tma;

qb<=tmb;

end process;

END a1;

相关文档
最新文档