EDA脉冲宽度测量仪实验报告讲解

合集下载

EDA脉冲宽度测量仪实验报告

EDA脉冲宽度测量仪实验报告

湖南涉外经济学院课程设计报告课程名称:EDA技术与应用报告题目:脉冲宽度测量仪学生姓名:刘君玮所在学院:电子科学与信息学院专业班级:电子信息 1302学生学号:134110229指导教师:罗志年李刚2015年12月24日EDA课程设计任务书报告题目脉冲宽度测量仪12.23- 完成时间1.11专业电信罗志年副教授学生姓名刘君玮指导教师职称班级1302 李刚讲师设计目的在电子技术及其应用领域中,常需要对各种系统工作的时间特性进行分析测量,如图一给出的这种时间特性示意图,其中 t 表示时间脉冲宽度,即指脉冲起始时间和终止时间的持续时间。

T 表示一个工作周期,即从脉冲的一个上升沿到下一个上升的时间。

tT图一脉冲宽度与周期在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM 脉(冲宽度调制 ) 输入的频率等。

因此可以说脉冲宽度和周期是关于脉冲的重要指标。

无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。

设计内容(1)脉冲信号宽度的测量精度为±1ms。

(2)脉冲信号宽度的测量范围为0~10s。

(3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用 5 位数码管显示(可以采用静态显示)。

(5)输入信号为标准TTL 电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

设计步骤工作内容及时间进度安排共 2 周: 12.22 小组成员分工写好各模块的程序12.23 将程序生成的模块进行调试、整合。

12.24 在实验平台上进行验证。

课程设计成果1.与设计内容对应的软件程序2.课程设计总结报告摘要随着 EDA技术的迅速发展,在 EDA软件平台上,根据硬件描述语言 VHDL 完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作成了脉冲测量的发展方向。

脉冲宽度检测

脉冲宽度检测

一种“边沿检测电路”和“脉宽检测电路”的设计方法
关于“边沿检测电路”和“脉宽检测电路”有很多设计方法,以下是一种比较常见的设计方法,大部分的“边沿检测电路”和“脉宽检测电路”都是分别以这两种电路为基础实现的,也是我们在芯片设计中经常采用的方法:
(1)边沿检测电路
上图给出的是一种下降沿检测电路,当输入有下降沿出现时,输出则会产生一个高脉冲,脉冲的宽度由非门构成的延时电路决定。

(2)脉宽检测电路
上图给出的则是一种脉宽检测电路,和边沿检测电路的原理相同,也是利用了非门构成的延时电路。

该图给出的是负脉冲宽度检测电路,只有负脉冲的宽度大于一定的值时,才能输出一个高脉冲。

这个最小脉宽由非门构成的延时电路决定,而输出的高脉冲宽度则是输入脉冲宽度与最小脉宽之差。

通常我们在实际的设计中不只利用非门本身的延时,如果需要的延时比较大时,可以在非门后加一些电容。

利用这两个电路为基础,我们很容易就扩展出“上升沿检测”、“正脉冲宽度检测”,“高脉冲输出”还是“低脉冲输出”。

甚至可以扩展出功能可配置的检测电路。

当输入负脉冲宽度大于四个非门的延迟时间宽度输出才有高电平出现
当输入正脉冲大于四个非门的延迟时间宽度输出才有高电平出现。

EDA课程设计实验报告详解

EDA课程设计实验报告详解

EDA课程设计报告;课题名称:16*16点阵显示专业:通信工程班级:2013级通信工程(2)班学号:—姓名:刘乐指导教师:杨泽林完成时间:2015年12月18号目录~一、设计目的二、课题的主要功能三、课题的功能模块划分四、主要功能的实现·五、实验程序六、系统调试与仿真七、总结与体会一、设计目的全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。

二、课题的主要功能问题描述本实验主要完成汉字字符在LED 上的显示,16*16 扫描LED 点阵的工作原理与8 位扫描数码管类似,只是显示的方式与结果不一样而已。

下面就本实验系统的16*16 点阵的工件原理做一些简单的说明。

16*16 点阵由此256 个LED 通过排列组合而形成16 行*16 列的一个矩阵式的LED 阵列,俗称16*16 点阵。

单个的LED 的电路如下图1 所示:图1 单个LED 电路图由上图可知,对于单个LED 的电路图当Rn 输入一个高电平,同时Cn 输入一个低电平时,电路形成一个回路,LED 发光。

也就是LED 点阵对应的这个点被点亮。

16*16 点阵也就是由16 行和16 列的LED 组成,其中每一行的所有16 个LED 的Rn 端并联在一起,每一列的所有16 个LED 的Cn 端并联在一起。

通过给Rn 输入一个高电平,也就相当于给这一列所有LED 输入了一个高电平,这时只要某个LED 的Cn 端输入一个低电平时,对应的LED 就会被点亮。

具体的电路如下图2所示:图2 16*16 点阵电路原理图在点阵上显示一字符是根据其字符在点阵上的显示的点的亮灭来表示的,如下图3 所示:图3 字符在点阵上的显示在上图中,显示的是一个“汉”字,只要将被“汉”字所覆盖的区域的点点亮,则在点阵中就会显示一个“汉”字。

脉冲宽度的测量

脉冲宽度的测量

JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 单片机原理与应用课题:脉冲宽度的测量学院:电气信息工程学院专业:电子信息工程班级:08电子1班姓名:学号:08311106合作者:指导教师:陈连玉黄阳日期:2011-10-10目录摘要 (3)一、课程设计的目的与意义 (3)二、程序设计的具体要求 (3)2.1 技术指标 (3)2.2 工作原理 (3)2.3 主要功能 (3)三、硬件电路的设计及描述 (4)3.1 总体框图 (4)3.2 各器件的参数 (4)3.3电路中的各器件 (4)四、软件设计流程及描述 (6)4.1 软件框图 (6)4.2 软件设计思想 (6)4.3 各参数的选择理论根据及公式 (7)五、源程序代码 (9)六、调试与分析 (13)6.1 调试 (13)6.2 误差与分析 (13)七、课程设计的体会 (13)八、参考文献 (13)九、附录一 (14)摘要:近年来随着科技的飞速发展,单片机的应用正在不断的走向深入,同时带动传统控制检测的更新。

在实时检测和自动控制的单片机应用系统中,单片机大都是作为一个核心器件来使用,仅单片机方面的知识是不够的,还应该根据具体硬件结构,以及对具体应用对象特点的软件结合,加以完善。

本系统采用单片机A T89C51为中心器件来设计,在现有的单片机仿真机系统上掌握软硬件设计与调试知识,正确进行元器件的测试与调试,并在计算机上编写程序,进行调试运行,实现设计要求。

一、课程设计的目的和意义(1)设计目的通过本次课程设计,巩固和加深“单片机原理与应用”中的理论知识,了解和应用单片机仿真系统,结合软硬件,基本掌握单片机的应用的一般设计方法,提高电子电路的设计和实验能力,并且提高自身查找和运用资料能力(2) 设计意义通过本次课程设计,理论知识系统化,从中或得一些实战工作经验,提高个人与团体合作的能力。

为以后从事生产和科研工作打下一定基础。

基于单片机的脉冲宽度 实验报告

基于单片机的脉冲宽度   实验报告

目录前言 (3)一.设计内容与技术指标 (4)1.1设计内容 (4)1.2技术指标 (4)二.脉宽测量工作原理及实现方案 (4)2.1工作原理 (4)2.2系统设计方案 (4)2.2.1硬件电路的设计 (4)2.2.2软件设计流程及描述 (6)三.系统调试及结果分 (13)3.1硬件调试 (13)3.2软件调试 (13)3.3结果分析 (13)四.注意事项与解决问题的方案 (13)五.心得体会 (14)六.参考文献: (14)七:附录: (15)前言近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新.在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善.单片机是指在一个芯片上集成了中央处理器、存储器和各种I/O接口的微型计算机,它主要面向控制性应用领域,因此又称为嵌入式微控制器。

单片机诞生30多年以来,其品种、功能和应用技术都得到飞速的发展,单片机的应用已深入国民经济和日常生活的各个领域。

本次课程设计目的主要是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展的日新日异,单片机已经成为当今计算机应用中空前活跃的领域,在生活中可以说得是无处不在。

因此作为二十一世纪的大学来说掌握单片机的开发技术是十分重要的。

一.设计内容与技术指标1.1设计内容利用单片机及4位LED数码管做成四位脉宽显示,在一个脉宽期间对内部周期进行计数,得到的一个高电平脉冲内的计数值显示在四位数码管上,并达到相应的技术指标要求。

1.2技术指标(1)输入脉冲幅度:0-5V(2)脉宽测量范围:0.1-50ms(3)测量精度:±1%(4)显示方式:四位数字显示二.脉宽测量工作原理及实现方案2.1工作原理从P3.2脚引入脉冲信号,在待测信号高电平期间,T0对内部周期脉冲进行计数。

EDA实验报告

EDA实验报告

EDA实验报告EDA 实验实验一用原理图输入法设计半加器一、实验目的:1.熟悉利用Quartus Ⅱ的原理图输入方法设计简单组合电路;2.通过一个半加器的设计把握利用EDA 软件进行电子线路设计的详细流程;3.学会对实验板上的FPGA/CPLD 进行编程下载,硬件验证自己的设计项目。

二、实验器材:1、计算机及操作系统2、QUARTUS II 软件三、实验要求:1. 利用原理图输入法对半加器电路进行描述;2. 进行波形仿真测试;3. 严格按照实验步骤进行实验;4. 管脚映射按照芯片的要求进行。

四、实验原理其中a, b 为输入端口,So 与Co 分别为半加器和与进位。

其逻辑表达式为:2. 根据逻辑表达式进行原理图输入。

五、实验步骤:1. 为本项工程设计建立文件夹。

注意文件夹名不能用中文,且不可带空格。

2. 输入设计项目并存盘。

3. 将设计项目设计为工程文件。

4. 选择目标器件并编译。

b a b a b a So ⊕=+=ab Co =5. 时序仿真。

6. 引脚锁定。

7. 编程下载。

实验二用原理图法设计一位、四位全加器一、实验目的:1. 熟悉利用QuartusⅡ的原理图输入方法设计简单组合电路;2. 通过一个半加器的设计把握利用EDA 软件进行电子线路设计的详细流程;3. 学会对实验板上的FPGA/CPLD 进行编程下载,硬件验证自己的设计项目。

二、实验器材:1、计算机及操作系统2、QUARTUS II软件三、实验要求:1.利用原理图输入法对一位全加器电路进行描述;2. 进行波形仿真测试;3. 严格按照实验步骤进行实验;四、实验原理:利用实验一所设计的半加器设计一位全加器;利用设计封装好的一位全加器进行四位全加器的设计。

五、实验步骤:与实验一相同。

六、实验报告:1. 要求画出一位、四位全加器的真值表;2. 分析用半加器实现一位全加器的优点;3. 对波形进行分析,并绘制波形图。

实验三用文本输入法设计D触发器和锁存器一、实验目的:1. 熟悉QuartusⅡ的VHDL 文本设计过程。

EDA实验报告(绝对有用)

EDA实验报告(绝对有用)

EDA 设计与实验院系:电子工程与光电技术学院专业:通信工程班级: 07042201姓名:包华广(32号)学号: 0704330107指导老师:蒋立平花汉兵目录:摘要 - - - - - - - - - - - - - - - 1一.正文部分1.设计电路功能要求 - - - - - - - - - - - - - 12.方案论证 - - - - - - - - - - - - - 13.各子模块设计原理 - - - - - - - - - - - - 23.1 时钟信号发生模块 - - - - - - - - - - - - 23.2 计时模块- - - - - - - - - - - 43.3 计时电路 - - - - - - - - - - - - 63.4 校正电路模块 - - - - - - - - - - - - 63.5 整点报时模块 - - - - - - - - - - - - 83.6 闹钟功能模块 - - - - - - - - - - - - 84、设计总电路原理 - - - - - - - - - - - - - 9二.总结部分5. 调试、仿真与下载 - - - - - - - - - - - - - 106.实验结果 - - - - - - - - - - - - - 107. 实验中遇到的问题与解决方法 - - - - - - - - - 118. 实验体会与收获 - - - - - - - - - - - - - 12 9.参考文献 - - - - - - - - - - - - - - - - 12摘要:本实验利用QuartusII软件,结合所学的数字电路的知识设计一个24时多功能数字钟,具有正常分、秒计时,动态显示,保持、清零、快速校分、整点报时、闹钟功能。

文章分析了整个电路的工作原理,还分别说明了各子模块的设计原理和调试、仿真、编程下载的过程,并对最终结果进行总结,最后提出了在实验过程中出现的问题和解决的方案。

eda实验报告完整版

eda实验报告完整版

EDA实验报告焦中毅201300121069实验1 4选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。

2.学习使用原理图进行设计输入。

3.初步掌握器件设计输入、编译、仿真和编程的过程。

4.学习实验开发系统的使用方法。

二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。

实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。

本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。

实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。

例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。

学会管脚锁定以及编程下载的方法等。

四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。

五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。

2.学习层次化设计方法。

二、实验仪器与器材1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。

用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。

四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。

EDA实验讲义

EDA实验讲义

实验一简单逻辑电路设计与仿真一.实验目的1.学习并掌握MAX+PLUSⅡ及CPLD/FPGA实验开发系统的基本操作。

2.学习在MAX+PLUSⅡ下设计简单逻辑电路与功能仿真的方法。

二.实验仪器设备1.PC机一台2.KHF-1/KHF-2/KHF-3/KHF-4/KHF-5 CPLD/FPGA实验开发系统一套。

三.实验要求1.预习教材中的相关内容。

2.阅读并熟悉本次实验的内容。

3.用图形输入方式完成电路设计。

4.分析器件的延时特性。

四.实验内容及参考实验步骤1.用D触发器设计一个4进制加法计数器并进行功能仿真。

(1)开机,进入MAX+PLUSⅡ软件系统。

(2)点击File菜单Project子菜单之Name项,出现Project Name 对话框。

为当前的实验选择恰当的路径并创建项目名称(注意MAX+PLUSⅡ不识别中文路径)。

(3)点击File菜单之New项,出现对话框,为选择输入方式,这儿我们选择Graphic Editor File。

出现图形编辑窗口(注意界面发生了一定变化)。

(4)双击空白编辑区,出现Enter Symbol 对话框(或点击Symbol 菜单Enter Symbol 项)从Symbol Libraries项中选择mf子目录(双击),然后在Symbol File 中选择7474元件(双D触发器);在prim子目录中选择输入脚input 和输出引脚output(或直接Symbol Name 中输入所需元件的名称回车亦可)。

(5)在图形编辑窗口中的左侧点击连线按钮(draws a horizontal or vertical line),并完成对电路的连线(参考电路如图3-1)。

图3-1 4 进制加法计数器(6)在引脚的PIN_NAME处左键双击使之变黑,键入引脚名称。

(7)点击工具栏中的“change the project name to the name of the current file”按钮,使项目名称与当前设计文件相同。

EDA实验七八实验报告(1)

EDA实验七八实验报告(1)

实验七序列检测器的VHDL设计(1)实验目的:用状态机实现序列检测器的设计,了解一般状态机的设计与应用。

(2)实验原理:序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出 1,否则输出 0。

由于这种检测的关键在于正确码的收到必须是连续的,这就要求检测器必须记住前一次的正确码及正确序列,直到在连续的检测中所收到的每一位码都与预置数的对应码相同。

在检测过程中,任何一位不相等都将回到初始状态重新开始检测。

书上P168例5-11 描述的电路完成对序列数”11100101”的检测,当这一串序列数高位在前(左移)串行进入检测器后,若此数与预置的密码数相同,则输出”A”,否则仍然输出”B”。

(3)实验内容 1:用VHDL状态机设计一个8位序列信号检测器。

实验程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SCHK ISPORT (DIN,CLK,CLR: IN STD_LOGIC;AB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0));END ENTITY SCHK;ARCHITECTURE ONE OF SCHK ISSIGNAL Q : INTEGER RANGE 0 TO 8;SIGNAL D : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGIND<="11100101";PROCESS(CLK,CLR)BEGINIF CLR='1' THEN Q<=0;ELSIF CLK'EVENT AND CLK='1' THENCASE Q ISWHEN 0=> IF DIN=D(7) THEN Q<=1; ELSE Q<=0; END IF;WHEN 1=> IF DIN=D(6) THEN Q<=2; ELSE Q<=0; END IF;WHEN 2=> IF DIN=D(5) THEN Q<=3; ELSE Q<=0; END IF;WHEN 3=> IF DIN=D(4) THEN Q<=4; ELSE Q<=0; END IF;WHEN 4=> IF DIN=D(3) THEN Q<=5; ELSE Q<=0; END IF;WHEN 5=> IF DIN=D(2) THEN Q<=6; ELSE Q<=0; END IF;WHEN 6=> IF DIN=D(1) THEN Q<=7; ELSE Q<=0; END IF;WHEN 7=> IF DIN=D(0) THEN Q<=8; ELSE Q<=0; END IF;WHEN OTHERS=> Q<=0;END CASE;END IF;END PROCESS;PROCESS(Q)BEGINIF Q=8 THEN AB<="1010";ELSE AB<="1011";END IF;END PROCESS;END ARCHITECTURE ONE;实验步骤如下:1 将源程序以SCHK.vhd的形式存入D盘名为liulin的文件夹中2 全程编译3 时序仿真4 引脚锁定和下载引脚锁定如下:CLR-PIN34;CLK-PIN32;DIN- PIN33;AB[0]- PIN77、 AB[1]- PIN78、AB[2]- PIN83、 AB[3]- PIN84;5 实际测试时序仿真波形如下图:实验分析:选择电路模式 No.8 。

EDA实验报告(同名8284)

EDA实验报告(同名8284)

EDA设计实验报告0710200321雷宇目录一.实验一 (5)1.实验目的 (5)2.实验要求 (5)3.实验电路 (6)4实验过程 (6)4.1饱和失真 (7)4.2截止失真 (9)4.3不失真情况下电路 (11)(1)电压增益 (11)(2)静态工作点 (12)(3)输入电阻 (12)(4)输出电阻 (14)(5)频率响应曲线和f L、f H值 (14)5.实验一总结 (16)二.实验二 (17)1.实验目的 (17)2.实验要求 (17)3.实验过程 (17)3.1实验原理图 (17)3.2未接入负反馈电路 (18)(1)频率特性和fL、fH值 (18)(2)输出开始出现失真时的输入信号幅度 (19)(3)未接入负反馈电路的放大倍 (21)(4)未接入负反馈电路的输入电阻 (21)(5)未接入负反馈电路输出电阻 (22)3.3负反馈电路 (23)(1)频率特性和fL、fH值 (23)(2)输出开始出现失真时的输入信号幅度 (24)(3)负反馈电路的放大倍数 (25)(4)负反馈电路的输入电阻 (26)(5)负反馈电路的输出电阻 (27)(6)验证A F 1/F (28)4.实验二总结 (28)三.实验三 (29)1.实验内容 (29)2.实验原理 (29)3.实验过程 (30)3.1方波发生器 (30)3.2微分电路 (31)3.3限幅电路 (33)3.4积分累加电路 (34)3.5阶梯波周期 (35)3.6调试元件参数 (36)4.实验三总结 (37)四.全文总结 (38)五.参考文献 (39)实验一:单级放大电路一、实验目的1、通过设计三极管的单级放大电路,了解三极管放大电路的动态工作点和静态工作点的设置方法,使其对放大电路原理有更加深刻的理解。

2、通过这种单级放大电路的设计与分析、仿真,学会使用Multisim 7.0软件对电路进行动态分析、静态分析及频率分析等方法。

能较为熟练的掌握Multisim7.0的用法。

EDA实验报告

EDA实验报告

一MAX –plusII及开发系统使用一、实验目的1、熟悉利用MAX-plusⅡ的原理图输入方法设计简单的组合电路2、掌握层次化设计的方法3、熟悉DXT-BⅢ型EDA试验开发系统的使用二、主要实验设备PC 机一台(中档以上配置),DXT-B3 EDA实验系统一台。

三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。

它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。

因为本实验是在计算机平台上进行,因此实验方式,实验手段和实验仪器与传统的实验有很大的区别,主要体现在以下几个方面:1、实验器材集中化,所有实验基本上在一套实验设备上进行。

传统的实验每作完一个实验,实验器材基本上都要变动(个别除外)。

而做本实验时,只要在计算机上把不同的程序输进去,其它步骤所有实验都一致;2、实验耗材极小(基本上没有耗材);3、在计算机上进行,自动化程度高,人机交互性好,修改、验证实验简单;4、下载后,实验结果清晰;5、实验仪器损耗少,维护简单;下面,我们就本套实验设备做一个简单的介绍。

(一)Max+plusⅡ10.0的使用。

1、Max+PlusII软件的安装步骤:第一步:系统要求奔3CPU以上,128M内存以上,4G 以上硬盘,98 操作系统(98或Me操作系统才可以下载,其他操作系统下载必须安装驱动,否则只能仿真,如果大家只进行仿真的话,对系统没要求)第二步:安装点击安装可执行文件进行安装,安装完毕后会弹出一对话框,点击是或否都可以。

第三步:将安装文件夹中的License 文件夹打开,里面有一个License.bat 注册文件,将此文件复制到你的安装目录下(你的安装目录可放在任一个驱动器下,然后建立一个Max10的文件夹,将系统安装在此文件夹中,安装后此文件夹中会有三个文件夹)的任一个文件夹中,要清楚位置。

第四步:注册启动Max+PlusII 软件,可以从开始-->程序-->Altera-->Max+PlusII 打开,也可以建立一个快捷方式在桌面上。

脉冲宽度调节电子实习报告

脉冲宽度调节电子实习报告

实习报告:脉冲宽度调节电子实习一、实习目的通过本次实习,了解脉冲宽度调节(PWM)的基本原理和应用,掌握PWM技术的实际操作和调试方法,提高对电子电路设计和实践能力。

二、实习时间2023年4月10日 - 2023年4月20日三、实习地点学校电子实验室四、实习内容和过程1. 学习脉冲宽度调制(PWM)的基本原理:脉冲宽度调制(PWM)是一种利用数字信号对模拟电路进行控制的技术。

通过改变信号的高电平和低电平的持续时间比例,从而控制输出信号的平均功率或电压。

2. 了解PWM的调节原理:PWM的调节原理是通过改变信号的高电平和低电平的持续时间比例来控制输出信号的平均功率或电压。

占空比是指在一个脉冲周期内,高电平的时间占整个周期时间的比例。

PWM的周期是由重加载寄存器(ARR)决定,占空比是由捕获比较寄存器(CCR)决定,调节精度也由ARR决定。

3. 学习PWM技术的应用:PWM技术广泛应用于电力电子技术领域,如电机控制、灯光调节、太阳能光伏系统等。

在实习过程中,我们主要通过STM32单片机实现PWM输出,用于控制电机转速。

4. 设计和搭建PWM实验电路:根据实习要求,设计和搭建PWM实验电路,包括STM32单片机、PWM发生器、电机驱动电路等。

5. 编写程序实现PWM输出:根据实验要求,编写STM32单片机程序,实现PWM输出。

通过调整程序中的占空比参数,实现对电机转速的控制。

6. 调试和优化电路:在实验过程中,不断调试和优化电路,提高PWM输出质量和电机控制精度。

五、实习总结通过本次实习,我深入了解了脉冲宽度调节(PWM)的基本原理和应用,掌握了PWM技术的实际操作和调试方法。

在实验过程中,我学会了如何设计和搭建PWM实验电路,编写程序实现PWM输出,以及调试和优化电路。

此外,我还认识到PWM技术在电力电子领域的重要性和广泛应用。

通过本次实习,我对电子电路设计和实践能力有了进一步提高,为以后的学习和工作打下了坚实基础。

EDA课程设计-脉冲序列检测器的设计

EDA课程设计-脉冲序列检测器的设计

摘要:脉冲序列检测器广泛应用于现代数字通信系统中,随着通信技术的发展,对多路脉冲序列信号检测要求越来越高。

随着器件复杂程度的提高,电路逻辑图变得过于复杂,不便于设计。

VHDL(VHSIC Hardware Description Language)是随着可编程逻辑器件的发展而发展起来的一种硬件描述语言。

VHDL具有极强的描述能力,能支持系统行为级、寄存器输级和门级三个不同层次的设计。

本文针对传统的脉冲序列检测器方案,提出了一种基于FPGA的脉冲序列检测器设计的新方案,该方案基于当今通讯信息产业的发展,不断追求较低数据传输误码率,其中较为成熟的编码方法如汉明码、奇偶校验码、循环冗余码等编码技术,被广泛应用于计算机、电子通信、控制等各个领域。

其中汉明码是一种能够纠正一位错码检测两位错码且编码效率较高的线性分组码。

实验模块是采用VHDL语言编写,结合EDA技术基于FPGA在数字逻辑领域的优势和软件设计来实现,本实验包含五个模块,分别为编码模块、译码模块、寄存器模块、序列检测器以及顶层模块,该序列检测器最大的特点是能够在检测传输数据的同时检测到所有一位或两位错码并纠正一位错码数据。

关键词: FPGA 硬件描述语言VHDL 序列检测器汉明码目录1 FPGA简介什么是FPGA (5)1.2 FPGA由什么构成 (6)1.3 FPGA设计步骤 (6)1.4 硬件描述语言VHDL (11)2 序列检测器的设计特点及原理2.1 实验设计的特点 (13)2.2 汉明码编码和译码原理 (14)3 系统分析及总体设计3.1 系统工作过程分析 (15)3.2 系统工作框图 (15)3.3 功能模块的功能介绍 (16)4 功能分模块设计4.1 编码模块 (16)4.2 译码模块 (17)4.3 特殊寄存器模块 (19)4.4 序列检测器模块 (20)4.5 顶层模块 (21)5 硬件的制作与调试 (22)6 实验总结 (22)7 致谢 (22)8 参考文献 (23)引言:本创新题目基于当今通讯信息产业的发展,不断追求较低数据传输误码率,编码纠错技术日益成熟的背景下,随着差错控制编码技术的蓬勃发展,作为信道传输过程抗干扰的有效手段,其中较为成熟的编码方法如汉明码、奇偶校验码、循环冗余码等编码技术,被广泛应用于计算机、电子通信、控制等各个领域。

EDA实习报告(超全:包含Multisim各种电路详细仿真、公式计算,原理详细分析、实验心得、实验详细结果等)

EDA实习报告(超全:包含Multisim各种电路详细仿真、公式计算,原理详细分析、实验心得、实验详细结果等)

EDA技术及其应用实训报告1、实训目的1.1实训目的(1)掌握EDA技术及开放流程。

(2)掌握Multisim的使用方法和仿真操作。

(3)能够用Multisim软件进行搭建电路原理图,并对电路进行仿真。

(4)熟练运用Multisim软件并掌握其界面模块的功能。

(5)理解并掌握EDA技术在电路以及模电、数字电路设计中的应用。

1.2 实训要求(1)利用Multisim仿真L、C串联谐振电路,并用波特图仪测定频率特性。

(2)利用Multisim仿真三相三线制Y形非对称电路,并按要求分析。

(3)利用Multisim仿真模拟电路,并按要求进行分析。

(4)利用Multisim仿真数字电路,并按要求进行分析。

2、实验内容2.1 模拟电路部分要求:单管共射极分压式放大电路1、分析静态工作点(直流分析)2、电压放大倍数、输入电阻、输出电阻(交流分析)解:①电路截图如下:② 电路示波器及电压表显示(截图)如下:由上图波形数据可知:实测数据7.76-438.4573.340-=≈UA③ 原电路直流通路如下:测量值如下:B U=C I=CE U④ 理论值计算◆ 静态工作点的分析Vk k kU R R R U CC b b b B 73.212511515212≈+=+=mA R U U I I e BE B E C 135.11000246.073.2=⨯-=-=≈()()VR R I U R I R I U U e C C CC e E C C CC CE 94.321.5135.112=+⨯-=+-=--=◆ 三极管的输入电阻1208.882uAm 073.1==≈A I I BQ CQ β()Ω=⨯+=++≈K I r r EQ bb be 071.3135.126121300261'β◆ 该放大电路的各项交流参数分别为Ω=≈Ω==Ω===⨯-=-=K R r K R R r r R R R r R A C O b b be i L C L be L u 1.538.2////k 2//87-071.3212021''β⑤ 经第三、第四步骤的比较,测量值与理论计算值存在一定的误差,差异范围很小,说明理论与实测相对来说是符合一致的。

脉冲宽度测量仪

脉冲宽度测量仪

EDA技术课程设计脉冲宽度测量仪课程名:脉冲宽度测量姓名:陈芬学部:电气与信息工程学院学号:0 9 4 3 0 4 21154733专业班级:电信0 9 0 1指导教师:黄科目录第一章总体设计思想 (4)1.基本原理 (4)2.设计框图 (4)第二章设计步骤和调试过程 (5)1、总体设计电路 (5)(1)脉冲检测模块 (5)(2)计数模块 (5)(3)译码显示模块 (5)第三章模块设计和相应模块程序 (5)1、检测程序模块 (5)2、计数程序模块 (6)第四章设计电路图 (8)第五章实验调试结果 (9)第六章结论及心得体会 (9)参考资料 (9)课程设计任务书课程EDA技术课程设计题目脉冲宽度测量仪专业电子信息工程姓名陈芬学号09430412154733主要任务:采用EDA技术,设计一个能测量脉冲信号宽度的系统。

系统图如下:脉冲边沿检测时钟分频计数器译码显示P_INCLK CLK1CLK2基本要求:(1)脉冲信号宽度的测量精度为±1ms。

(2)脉冲信号宽度的测量范围为0~10s。

(3)调试过程中可以用按键模拟脉冲信号。

(4)测量值用5位数码管显示(可以采用静态显示)。

(5)输入信号为标准TTL电平。

(6)调试中既可以采用正脉冲,也可以采用负脉冲(任选其一)。

(7)必须先进行前仿真,并打印出仿真波形。

(8)按要求写好设计报告(设计报告内容包括:引言,方案设计与论证,总体设计,各模块设计,调试与数据分析,总结)。

脉冲宽度测量仪第一章 总体设计思想1.基本原理根据设计要求,系统的输入信号有:系统时钟信号CLK ,系统复位信号CLR ,脉冲输入信号P_ IN 。

当计数输出端检测到P_ IN 端有脉冲输入时检测模块就会输出1,否则为0,输给计数模块的EN端,当各位累计到9时,会向十位进位,一次类推到万位.最后由数码管显示脉冲的宽度(数码管的读数).2.设计框图系统组成方框图如下所示,它由外部输入模块、检测模块和显示模块三部分组成。

综合的实验脉宽测量

综合的实验脉宽测量

综合实验1 简易脉宽测量电路脉宽测量是用来测量一个矩形波的高电平持续时间进而可以实现信号的占空比测量。

一.实验目的1. 培养学生综合运用知识的能力。

2. 培养学生独立思考,设计电路的能力。

3. .培养学生分析问题、解决问题的能力。

4. .培养学生接线、调试电路的实践能力。

二.设计指标要求用常用数字电路IC设计一个脉宽测量,主要技术指标如下:1.能显示二位计数值,时间单位为毫秒。

2.被测量脉冲的频率范围100Hz-10kHz,时间标准信号由信号发生器或实验箱提供。

3.能测量信号的高低电平宽度和周期,实现占空比测量。

三.设计框图时间标准信号由信号发生器或实验箱提供,用以产生计时时间。

通过计数器,译码器和显示器显示出被测脉冲的宽度。

被测量信号Fx为周期性矩形脉冲。

在测量控制信号启动后,控制器使控制门只能让被测信号Fx的第一个正脉冲通过,从而测出脉冲宽度Tw的时间,因此,控制器应由触发器和门电路组成。

总体框图如下:四.实验方法1.教师提供设计框图和元件清单,学生自己查阅资料设计电路。

2.学生在实验箱上连线、调试电路、得出结果。

五.实验预习要求1.依据设计框图查阅资料,设计脉宽测量电路,给出逻辑图。

2.复习有关数据选择器、触发器、计数器的单元实验内容。

3.查资料,熟悉设计所用各集成块的逻辑功能,给出引脚图。

六.实验报告1.设计内容及要求2比较和选写设计的系统方案,画出系统框图3.单元电路设计、参数计算和器件选择4.画出完整的电路图, 并说明电路的工作原理5.组装调试的内容。

括:1)调试电路的方法和技巧2)测试的数据和波形并与计算结果比较分析3)调试中出现的故障、原因及排除方法。

6总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望。

7列出系统所用的元器件清单。

8收获、体会。

七.注意事项1.调整线路前,必须先关掉电源2. 译码显示电路可用实验箱上的6位十六进制七段译码器与LED数码显示器。

山东科技大学创新性实验:脉冲宽度测量

山东科技大学创新性实验:脉冲宽度测量

山东科技大学电工电子实验教学中心创新性实验研究报告课程名称:单片机原理及应用设计实验项目名称脉冲宽度测量______姓名____学号_手机_Email __专业电气工程及其自动化班级__指导教师及职称_____开课学期至学年__学期提交时间年月日24五、实验结果与分析62、对实验现象、数据及观察结果的分析与讨论:实验在调试的过程中会出现一系列的问题,如果是脉冲宽度只显示一次,则是因为进入定时器的中断次数不对,这是需要改进在显示一次后如果再出现高电平需要再次进入中断服务程序;若显示的数值只闪一下最后只显示一位数则是因为显示程序调用出现问题,这是需要不停地调用显示程序来解决问题,若程序和中显示乱码或计数不对则可能是子程序中程序编写出现错误或是只是某一个小地方出现差错,应仔细检查。

8六、实验结论七、指导老师评语及得分:附件:源程序等。

T0门控方式实验程序:ORG 0000HLJMP MAINORG 000BHLJMP T0_INTORG 0030HMAIN: MOV TMOD,#09H;设T0工作在方式1,GATE=1MOV TL0,#0FCHMOV TH0,#17H;设定时初值,定时0.001sMOV R4,#00HMOV R3,#00HJB P3.2,$SETB ET0SETB EAIOC: SETB TR0JNB P3.2,$;进入T0定时中断MOV R3,#00HMOV R4,#00H;R3R4存定时溢出值,此时在低电平计数,需将所记的数值清零JB P3.2,$CLR TR0;脉冲信号出现低电平,定时停止MOV 34H,R4MOV 35H,R3LCALL BCD;将计数值变为压缩BCD码LCALL UBCD;将压缩BCD码转换为非压缩BCD码用于显示DIS:LCALL DISP;调用显示程序JB P3.2,IOC;当脉冲信号再次出现高电平时继续进入中断SJMP DIST0_INT:INC R3;定时到计数值加一CJNE R3,#00H,NEXTINC R4NEXT: MOV TH0,#0FCHMOV TL0,#17HRETIBCD:MOV R7,#16CLR A;BCD码初始化MOV 47h,AMOV 46h,AMOV 45h,ABCD1:CLR CMOV A,35HRLC AMOV 35H,AMOV A,34HRLC AMOV 34H,AMOV A,47HADDC A,47HDA AMOV 47H,AMOV A,46HADDC A,46HDA AMOV 46H,AMOV A,45HADDC A,45HDA AMOV 45H,ADJNZ R7,BCD1RET;将得到的压缩BCD码从高到低存入45H46H47HUBCD:MOV A,45HANL A,#0F0HSWAP AMOV 50H,AMOV A,45HANL A,#0FHMOV 51H,AMOV A,46HANL A,#0F0HSWAP AMOV 52H,AMOV A,46HANL A,#0FHMOV 53H,AMOV A,47HANL A,#0F0HSWAP AMOV 54H,AMOV A,47HANL A,#0FHMOV 55H,ARET;将非压缩BCD码从高到低存入50H51H52H53H54H55HDISP:MOV R0,#55H;显示缓冲地址MOV R2,#20H;送位控初始码MOV A,#0FFH;共阳灭码MOV P0,AACALL DIPMOV R0,#54H;显示缓冲地址MOV R2,#10H;送位控初始码ACALL DIPMOV R0,#53HMOV R2,#08HACALL DIPMOV R0,#52HMOV R2,#04HMOV A,R2MOV P2,AMOV A,@R0MOV DPTR,#TABLEMOVC A,@A+DPTR;查出要显示的字形代码ANL A,#7FH;加小数点显示10MOV P0,AACALL DELAYMOV R0,#51HMOV R2,#02HACALL DIPMOV R0,#50HMOV R2,#01HACALL DIPSJMP DISPDIP:MOV A,R2;送位控信号MOV P2,A;P2存放位控信号MOV A,@R0;从显示缓冲中取数MOV DPTR,#TABLEMOVC A,@A+DPTR;查出要显示的字形代码MOV P0,AACALL DELAYRETDELAY:MOV R5,#9FHDJNZ R5,$RETTABLE:DB0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,9 0H,88H,83H,0C6H,0A1H,86H,8EHENDT2捕捉方式实验程序T2CON EQU 0C8HTH2 EQU 0CDHTL2 EQU 0CCHRCAP2H EQU 0CBHRCAP2L EQU 0CAHTR2 BIT 0CAH;定义定时器T2ORG 0000HLJMP MAINORG 000BHLJMP T0_INTORG 0030HMAIN: MOV TMOD, #01H;定时器T1工作在方式一采用定时模式MOV T2CON,#0FH;T2处于计数模式,运行T2,捕捉方式JB P1.1,$;待测信号为高电平等待LOOP3: MOV TL0, #17HMOV TH0, #0FCH;设定时器初值,定时0.01SMOV TH2, #00HMOV TL2 ,#00HMOV 35H,#00HMOV 34H,#00HCLR P1.0SETB EASETB ET0JNB P1.1,$SETB TR0JB P1.1,$;高电平开始定时CLR TR0MOV 35H,RCAP2LMOV 34H,RCAP2HACALL BCDLCALL UBCDLOOP1: ACALL DISPJB P1.1,LOOP3;待测信号再次出现高电平重新进入中断AJMP LOOP1T0_INT:MOV TL0, #17HMOV TH0, #0FCHSETB TR0SETB P1.0NOPNOPCLR P1.0RETIBCD:MOV R7,#16CLR A;BCD码初始化MOV 47h,AMOV 46h,AMOV 45h,ABCD1:CLR CMOV A,35HRLC AMOV 35H,AMOV A,34HRLC AMOV 34H,AMOV A,47HADDC A,47HDA AMOV 47H,AMOV A,46HADDC A,46HDA AMOV 46H,AMOV A,45HADDC A,45HDA AMOV 45H,ADJNZ R7,BCD1RETUBCD:MOV A,45HANL A,#0F0HSWAP AMOV 50H,AMOV A,45HANL A,#0FHMOV 51H,AMOV A,46HANL A,#0F0HSWAP AMOV 52H,AMOV A,46HANL A,#0FHMOV 53H,AMOV A,47HANL A,#0F0HSWAP AMOV 54H,AMOV A,47HANL A,#0FHMOV 55H,ARETDISP:MOV P0,#0FFHMOV DPTR ,#TABMOV A,55HMOVC A,@A+DPTRMOV P0,AMOV A,#20HMOV P2,AACALL DELAYMOV A,54HMOVC A,@A+DPTRMOV P0,AMOV A,#10HMOV P2,AACALL DELAYMOV A,53HMOVC A,@A+DPTRMOV P0,AMOV A,#08HMOV P2,AACALL DELAYMOV A,52HMOVC A,@A+DPTRANL A,#7FHMOV P0,AMOV A,#04HMOV P2,AACALL DELAYMOV A,51HMOVC A,@A+DPTRMOV P0,AMOV A,#02HMOV P2,AACALL DELAYMOV A,50HMOVC A,@A+DPTRMOV P0,AMOV A,#01HMOV P2,AACALL DELAYRETDELAY:MOV R6,#70HDJNZ R6,$RETTAB:DB0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,9 0H,88H,83H,0C6H,0A1H,86H,8EHEND12。

检测脉宽实习报告

检测脉宽实习报告

一、实习背景随着科技的不断发展,电子技术在各个领域的应用日益广泛。

在电子测量领域,脉宽检测技术作为衡量电子信号特性的重要手段,广泛应用于通信、雷达、自动控制等领域。

为了更好地了解脉宽检测技术,提高自身的实际操作能力,我参加了为期两周的脉宽检测实习。

二、实习目的1. 熟悉脉宽检测的基本原理和实验方法;2. 掌握常用脉宽检测仪器的使用方法;3. 提高实际操作能力,培养团队协作精神;4. 深入了解脉宽检测技术在各个领域的应用。

三、实习内容1. 脉宽检测基本原理脉宽检测是指测量脉冲信号的持续时间,即脉冲信号的高电平部分或低电平部分的持续时间。

脉宽检测的基本原理是利用比较器将输入的脉冲信号与参考信号进行比较,当输入信号超过参考信号时,比较器输出一个高电平信号,从而实现脉宽检测。

2. 脉宽检测仪器常用的脉宽检测仪器有示波器、脉冲宽度计、高速示波器等。

实习过程中,我们主要学习了示波器和脉冲宽度计的使用方法。

3. 实验内容(1)使用示波器测量脉冲信号的脉宽首先,将示波器的探头连接到待测脉冲信号的输入端,调整示波器的水平分辨率,使脉冲信号的高电平部分或低电平部分清晰显示。

然后,通过观察示波器屏幕上的波形,测量脉冲信号的脉宽。

(2)使用脉冲宽度计测量脉冲信号的脉宽将脉冲信号输入到脉冲宽度计的输入端,设置脉冲宽度计的测量范围和分辨率。

根据脉冲信号的幅度,选择合适的参考电压。

启动脉冲宽度计,观察测量结果。

4. 脉宽检测在各个领域的应用(1)通信领域:在通信系统中,脉宽检测技术可以用于测量信号传输过程中的脉冲信号特性,确保通信质量。

(2)雷达领域:雷达系统中的目标检测和跟踪需要脉宽检测技术来测量目标回波信号的脉宽,从而判断目标的距离和速度。

(3)自动控制领域:脉宽检测技术在自动控制系统中可以用于测量控制信号的特性,实现对控制系统的实时监控和调整。

四、实习心得1. 通过本次实习,我对脉宽检测技术有了更深入的了解,掌握了示波器和脉冲宽度计的使用方法,提高了自己的实际操作能力。

检测脉宽实习报告

检测脉宽实习报告

实习报告一、实习背景及目的随着科技的不断发展,脉宽检测技术在生物医学、工业生产等领域中的应用越来越广泛。

为了提高自己的实践能力和专业素养,我参加了为期一个月的脉宽检测实习项目。

本次实习旨在了解脉宽检测的原理、熟悉相关仪器设备的使用,并掌握基本的脉宽检测方法。

二、实习内容与过程1. 实习前的准备在实习开始前,我参加了由导师组织的预备培训,学习了脉宽检测的基本原理、常用仪器设备及其操作方法。

此外,我还通过查阅资料,对脉宽检测技术在实际应用中的案例进行了了解。

2. 实习过程(1)认识脉宽检测设备实习期间,我参观了实验室,了解了脉宽检测设备的基本构造和功能。

在导师的指导下,我学会了使用示波器、脉冲发生器等仪器设备。

(2)学习脉宽检测原理在实习过程中,我深入学习了脉宽检测的原理,掌握了脉冲信号的产生、传输和处理方法。

此外,我还了解了脉宽检测在实际应用中的重要性。

(3)进行脉宽检测实验在实验室,我参与了多个脉宽检测实验。

通过实验,我熟练掌握了示波器等设备的操作,并学会了如何分析脉宽检测数据。

(4)撰写实习报告实习结束后,我根据所学知识和实验经验,撰写了一份详细的实习报告,对脉宽检测的原理、设备使用和实验结果进行了总结。

三、实习收获与反思1. 实习收获通过本次实习,我掌握了脉宽检测的基本原理和操作方法,提高了自己的实践能力。

同时,我对脉宽检测技术在实际应用中的重要性有了更深入的认识。

2. 实习反思在实习过程中,我发现自己在理论知识方面还有不足,需要进一步加强学习。

此外,我在实验操作中还存在疏忽,导致部分实验结果不理想。

今后,我将继续努力提高自己的专业素养,加强实践操作能力。

四、总结本次实习使我受益匪浅,不仅提高了我的实践能力,还对脉宽检测技术有了更深入的了解。

在今后的学习和工作中,我将继续努力,将所学知识运用到实际中,为我国脉宽检测技术的发展贡献自己的力量。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

湖南涉外经济学院
课程设计报告
课程名称: EDA技术与应用
报告题目:脉冲宽度测量仪
学生姓名:刘君玮
所在学院:电子科学与信息学院
专业班级:电子信息1302 学生学号: 134110229
指导教师:罗志年李刚
2015 年12 月24 日
EDA课程设计任务书
摘要
随着EDA技术的迅速发展,在EDA软件平台上,根据硬件描述语言VHDL 完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作成了脉冲测量的发展方向。

采用此种发法,设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。

通常采用脉冲计数法,即在待测信号的高电平或低电平用一高频时钟脉冲进行计数,然后根据脉冲的个数计算待测信号宽度,如图四所示。

待测信号相对于计数时钟通常是独立的,其上升、下降沿不可能正好落在时钟的边沿上,因此该法的最大测量误差为一个时钟周期。

例如采用50MHz的高频时钟,最大误差为20ns。

关键词:脉宽;脉冲;数显;电容
目录
一、概述 (1)
二、需求分析 (2)
三、系统设计 (3)
四、**模块详细设计与实现 (4)
五、结论与心得 (6)
六、参考文献 (6)
一、概述
在测量与仪器仪表领域,经常需要对数字信号的脉冲宽度进行测量,如转速传感器、外部系统的门控与选通脉冲,以及PWM (脉冲宽度调制)输入的频率等。

因此可以说脉冲宽度和周期是关于脉冲的重要指标。

无论是模似电路还是数字电路,往往都需要对脉冲宽度进行测量。

参与此次课程设计的小组成员为:刘君玮,王郑军,刘格诚,杨卓龙。

各自参与了程序编写、原理图绘制、实验验证的工作。

整体情况良好,在规定时间内完成了各项工作。

二、需求分析
1.脉冲检测
实现对脉冲的检测,即信号的输入。

2.计数器
对脉宽进行计数
3.数据选择器
对数据进行选择处理
4.LED显示译码器
对统计的结果进行显示
三、系统设计
1.系统模块
(1)脉冲检测模块
当有检测到P_IN端有脉冲输入(P_IN=1)时,EN_OUT=1输送到计数器的EN端。

(2)计数模块
计数模块是对检测到的脉冲进行计数,计算出脉冲的宽度。

(3)译码显示模块
对输入的脉冲宽度进行编码,然后在数码管上显示出来。

2.总流程图
四、详细程序设计
(1)脉冲检测模块
library ieee;
use ieee.std_logic_1164.all;
entity check is
port(
P_IN : in std_logic;
EN_OUT: out std_logic);
end check;
architecture behave of check is
begin
process (P_IN)
begin
if(P_IN='1') then EN_OUT<='1'; ---实现检测,若有脉冲就输出为1 else EN_OUT<='0'; ---,否则为0
end if;
end process;
end behave;
(2)计数模块
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count is
port(EN:in std_logic;
CLK:in std_logic;
CLR:in std_logic;
CQ:out std_logic;
Qout:BUFFER std_logic_vector(3 downto 0)
);
end count;
architecture art of count is
begin
U1:process(CLK,CLR,EN,QOUT)
Begin
if CLR='1' then Qout<="0000";
elsif CLK'EVENT and CLK='1' then
if EN='1' then
if Qout="1001" then Qout<="0000";
else Qout<=Qout+'1';
end if;
end if ;
end if ;
if Qout="1001" then
CQ<='1';
else
CQ<='0';
end if;
end PROCESS U1;
end art; (3)译码显示模块
library ieee;
use ieee.std_logic_1164.all;
ENTITY led IS
PORT(X:IN BIT_VECTOR(3 DOWNTO 0);
Y:OUT BIT_VECTOR(6 DOWNTO 0));
--sel:out std_logic_vector(7 downto 0));
END ENTITY led;
ARCHITECTURE ART OF led IS
BEGIN
--sel<="11111110";
PROCESS(X) is
BEGIN
IF X="0000" THEN Y<="1111110";--0
ELSIF X="0001" THEN Y<="0110000";--1
ELSIF X="0010" THEN Y<="1101101";--2 ELSIF X="0011" THEN Y<="1111001";--3
ELSIF X="0100" THEN Y<="0110011";--4
ELSIF X="0101" THEN Y<="1011011";--5
ELSIF X="0110" THEN Y<="0011111";--6
ELSIF X="0111" THEN Y<="1110000";--7
ELSIF X="1000" THEN Y<="1111111";--8
ELSIF X="1001" THEN Y<="1110011";--9
--ELSIF X="1010" THEN Y<="1110111";--A
--ELSIF X="1011" THEN Y<="0011111";--b --ELSIF X="1100" THEN Y<="1001110";--C --ELSIF X="1101" THEN Y<="0111101";--d
--ELSIF X="1110" THEN Y<="1001111";--E
--ELSIF X="1111" THEN Y<="1000111";--F
ELSE NULL;
END IF;
END PROCESS;
END ARCHITECTURE ART;
五、总原理图与管脚锁定表
总模块图
管脚锁定表
六、结论与心得
经过调试及结果分析,设计满足任务要求。

脉冲信号宽度的测量精度符合±1ms,测量范围为0~10s。

这次的课程设计对于我来说是一次演练,从选题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,有问题大家都坐在一起讨论,一起努力,一起攻克问题。

我想我们享受的就是这个过程,而不仅仅只是结果。

通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,它们都不是问题,都不是困难。

七、参考文献
[1] 高金定主编.EDA技术与应用.中国电力出版社.2010
[2] 刘英.脉宽的测量和参数分析.电子信息对抗技术.2007
[3] 吴大正.信号与线性系统分析.高等教育出版社.2005
[4] 阎石. 数字电子技术基础.第四版.北京:高等教育出版社,1998。

相关文档
最新文档