555信号发生器

555信号发生器
555信号发生器

学年论文(课程论文、课程设计)

题目:函数信号发生器

小组成员:

所在学院:信息科学与工程学院

指导教师:

职称:讲师

2011 年12 月24 日

背景

函数信号发生器又称为信号源,在生产实践和科技领域中有着广泛的应用,能够产生多种波形,如三角波、方波、正弦波的电路被称为函数信号发生器。在通信、广播、电视系统,在工业、农业、生物医学等领域内,函数信号发生器在实验室和设备检测中具有十分广泛的应用。

方波——三角波——正弦波函数信号发生器

一、 设计要求

1. 设计、组装、调试方波、三角波、正弦波发生器

2. 输出波形:方波、三角波、正弦波

二、 设计方案

2.1实验原理

(1)方案一原理框图

图1—— 方波、三角波、正弦波信号发生器的原理框图

首先由555定时器组成的多谐振荡器产生方波,然后由积分电路将方波转化为三角波,最后用低通滤波器将方波转化为正弦波,该方案调试容易。

(2)方案二原理框图

图2—— 正弦波、方波、三角波信号发生器的原理框图

RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法,电路框图如上。先通过RC正弦波荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有良好的正弦波和方波信号。但经过积分器电路产生的同步三角波信号,存在难度。原因是积分器电路的积分时间常数是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度同时改变。若要保持三角波幅度不变,需同时改变积分时间常数的大小。

2.2函数发生器的方案选择

函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题未采用单片函数发生器模块8038。

方案一的电路结构、思路简单,运行时性能稳定且能较好的符合设计要求,且成本低廉、调整方便,关于输出正弦波波形的变形,可以通过可变电阻的调节来调整。而方案二,关于三角波的缺陷,不是能很好的处理,且波形质量不太理想,且频率调节不如方案一简单方便。综上所述,我们选择方案一。

2.3方波发生电路的工作原理和论证

图3——由555定时器组成的多谐振荡器

利用555与外围元件构成多谐振荡器,来产生方波的原理。

用555定时器组成的多谐振荡器如图3所示。接通电源后,电容C2被充电,当电容C2上端电压Vc升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T导通,此时电容C2通过R3、Rp放电,Vc下降。当Vc下降到Vcc/3时,V0翻转为高电平。电容器C2放电所需的时间为

t pL= ( R3 +Rp) C2ln2 (3-1)

当放电结束时,T截止,Vcc将通过R1、R3、Rp 向电容器C2充电,Vc由Vcc/3上升到2Vcc/3所需的时间为

t pH= (R1+R3+ Rp) C2ln2=0.7( R1+R3+ Rp) C2(3-2) 当Vc上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图4,其震荡频率为f=1/(t pL+t pH)=1.43/(R、1+2R3+2Rp) C2(3-3)

图4——由555定时器组成的多谐振荡器工作波形

2.3.1方波--三角波转换电路的工作原理

图5——积分电路产生三角波

RC积分电路是一种应用比较广泛的模拟信号运算电路。在自动控制系统中,

常用积分电路作为调节环节。此外,RC积分电路还可以用于延时、定时以及各种波形的产生或变换。

由555定时器组成的多谐振荡器输出的方波经C4耦合输出,如图5所示为RC积分电路,再经R与C积分,构成接近三角波。其基本原理是电容的充放电原理。

2.3.2三角波--正弦波转换电路的工作原理

图6——三角波产生正弦波原理图

原理:采用低通滤波的方法将三角波变换为正弦波。

2.4总电路图

图7——函数发生器总电路图

总电路图的原理:555定时器接成多谐振荡器工作形式,C2为定时电容,C2的充电回路是R2→R3→RP→C2;C2的放电回路是C2→RP→R3→IC的7脚(放电管)。由于R3+RP》R2,所以充电时间常数与放电时间常数近似相等,由IC的3脚输出的是近似对称方波。按图所示元件参数,其频率为1kHz左右,调节电位器RP可改变振荡器的频率。方波信号经R4、C5积

分网络后,输出三角波。三角波再经R5、C6积分网络,输出近似的正弦波。C1是电源滤波电容。发光二极管VD用作电源指示灯。

图8——PCB原理图

图9——PCB 布线图

2.5 Multisim11仿真

图10——multisim仿真方波图

图11——multisim仿真三角波图

2.6实际调试图

555信号发生器

学年论文(课程论文、课程设计) 题目:函数信号发生器 小组成员: 所在学院:信息科学与工程学院 指导教师: 职称:讲师

2011 年12 月24 日

背景 函数信号发生器又称为信号源,在生产实践和科技领域中有着广泛的应用,能够产生多种波形,如三角波、方波、正弦波的电路被称为函数信号发生器。在通信、广播、电视系统,在工业、农业、生物医学等领域内,函数信号发生器在实验室和设备检测中具有十分广泛的应用。

方波——三角波——正弦波函数信号发生器 一、 设计要求 1. 设计、组装、调试方波、三角波、正弦波发生器 2. 输出波形:方波、三角波、正弦波 二、 设计方案 2.1实验原理 (1)方案一原理框图 图1—— 方波、三角波、正弦波信号发生器的原理框图 首先由555定时器组成的多谐振荡器产生方波,然后由积分电路将方波转化为三角波,最后用低通滤波器将方波转化为正弦波,该方案调试容易。 (2)方案二原理框图 图2—— 正弦波、方波、三角波信号发生器的原理框图

RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法,电路框图如上。先通过RC正弦波荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。此电路具有良好的正弦波和方波信号。但经过积分器电路产生的同步三角波信号,存在难度。原因是积分器电路的积分时间常数是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度同时改变。若要保持三角波幅度不变,需同时改变积分时间常数的大小。 2.2函数发生器的方案选择 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题未采用单片函数发生器模块8038。 方案一的电路结构、思路简单,运行时性能稳定且能较好的符合设计要求,且成本低廉、调整方便,关于输出正弦波波形的变形,可以通过可变电阻的调节来调整。而方案二,关于三角波的缺陷,不是能很好的处理,且波形质量不太理想,且频率调节不如方案一简单方便。综上所述,我们选择方案一。 2.3方波发生电路的工作原理和论证 图3——由555定时器组成的多谐振荡器 利用555与外围元件构成多谐振荡器,来产生方波的原理。

555定时器产生三种波形发生器

目录 摘要 (2) 第一章方案提出 (3) 第二章电路的基本组成及工作原理 (4) 第一节系统组成框图 (4) 第二节方波的产生 (5) 第三节由方波输出为三角波(利用积分器来实现) (7) 第四节由三角波输出正弦波 (9) 第三章 555定时器的介绍 (10) 第一节电路组成 (11) 第二节引脚的作用 (12) 第三节基本功能 (13) 第四章元件清单 (15) 第五章总结 (16) 附录及参考文献 (17) 第一节附录 (17) 一多谐振荡器——产生矩形脉冲波的自激振荡器 (17) 二电路原理图 (19) 第二节参考文献 (20)

摘要 各种电器设备要正常工作,常常需要各种波形信号的支持。电器设备中常用的信号有正弦波、矩形波、三角波和锯齿波等。在电器设备中,这些信号是由波形产生和变换电路来提供的。波形产生电路是一种不需外加激励信号就能将直流能源转化成具有一定频率、一定幅度和一定波形的交流能量输出电路,又称为振荡器或波形发生器。 在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。 波形发生器通过与波形变换电路相结合,它能产生正弦波、矩形波、三角波和阶梯波等各种波形,能满足现代测量、通信、自动控制和热加工、音视频设备及数字系统等对各种信号源的需求。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器等。 关键字:方案确定、参数计算、信号、发生器等。

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。

555芯片设计占空比可调的方波信号发生器

占空比可调的方波信号发生器 三、实验原理: 1、555电路的工作原理 (1)555芯片引脚介绍 图1 555电路芯片结构和引脚图 555定时器是一种应用极为广泛的中规模集成电路,该电路使用灵活、方便,只需外接少量的阻容原件就可以构成单稳、多谐和施密特触发器。因而广泛用于信号的产生、变换、控制和检测。 1脚:外接电源负极或接地(GND)。 2脚:TR触发输入。 3脚:输出端(OUT或Vo)。 4脚:RD复位端,移步清零且低电平有效,当接低电平时,不管TR、TH输

入什么,电路总是输出“0”。要想使电路正常工作,则4脚应与电源相连。 5脚:控制电压端CO(或VC)。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 6脚:TH 高触发端(阈值输入)。 7脚:放电端。 8脚:外接电源VCC (VDD )。 (2)555功能介绍 555定时器的功能主要是由两个比较器C1和C2的工作状况决定的。由图1可知,当V6>VA 、V2>VB 时,比较器C1的输出VC1=0、比较器C2的输出VC2=1,基本RS 触发器被置0,TD 导通,同时VO 为低电平。 当V6VB 时,VC1=1、VC2=1,触发器的状态保持不变,因而TD 和输出的状态也维持不变。 当V6V A V B >V B 不变 导通

555定时器单稳态触发器

先介绍下555定时器的基础知识,然后讲555定时器单稳态触发器 一、555定时电路 555定时电路的应用十分广泛,它由TTL集成定时电路和CMOS集成定时电路,这二者功能完全相同,不同之处是:TTL集成定时电路的驱动能力比CMOS集成定时电路大.. 1、555定时电路的组成 555定时电路是由三个5千欧电阻组成分压器、两个高精度电压比较器、一个基本R-S触发器、一个作为放电通路的管子及输出驱动电路组成。它的逻辑电路图为:如图(1)所示 它的逻辑符号为:如图(2)所示 功能描述:(功能表如表3所示) 当输入端R为低电平时,不管别的输入端为何种情况,输出为低电平,CMOS管工作。 当引脚6的输入电平大于2/3U DD 并且引脚2的输入电平大于1/3U DD ,输出为低电 平,CMOS管工作 当引脚6的电平小于2/3U DD 并且引脚2的输入电平大于1/3U DD, 输出为原状态. 当引脚2的电平小于1/3U DD, 电路输出为高电平,NMOS管关断.

例1.555集成电路,改变电压控制端(引脚5)的电压可改变( ) A.高触发端,低触发端的电平 B.555定时电路的高低电平 C.开关放电管的开关电平 D.置"0"端R的电平 答案为: A 例2.555定时电路R端的作用是什麽? 答:它的作用是:复"0".不管555定时电路是何种状态,只要R输入为低电平,输出即为低电平;只有它输入为高电平时定时电路才工作。 单稳态触发器具有下列特点:第一,它有一个稳定状态和一个暂稳状态;第二,在外来触发脉冲作用下,能够由稳定状态翻转到暂稳状态;第三,暂稳状态维持一段时间后,将自动返回到稳定状态。暂稳态时间的长短,与触发脉冲无关,仅决定于电路本身的参数。 单稳态触发器在数字系统和装置中,一般用于定时(产生一定宽度的脉冲)、整形(把不规则的波形转换成等宽、等幅的脉冲)以及延时(将输入信号延迟一定的时间之后输出)等。 一.用555定时器单稳态触发器 1. 电路组成及工作原理 (1)无触发信号输入时电路工作在稳定状态 当电路无触发信号时,v I保持高电平,电路工作在稳定状态,即输出端v O保持低电平,555内放电三极管T饱和导通,管脚7“接地”,电容电压v C为0V。(2)v I下降沿触发 当v I下降沿到达时,555触发输入端(2脚)由高电平跳变为低电平,电路被触发,v O由低电平跳变为高电平,电路由稳态转入暂稳态。 (3)暂稳态的维持时间 在暂稳态期间,555内放电三极管T截止,V CC经R向C充电。其充电回路为V →R→C→地,时间常数τ1=RC,电容电压v C由0V开始增大,在电容电压v C CC 上升到阈值电压之前,电路将保持暂稳态不变。

555定时器工作原理及应用引脚图 (2)

555定时器引脚图及其简单应用 本文主要介绍了555定时器的工作原理及其在单稳态触发器、多谐振荡器方面的应用。 关键词:数字——模拟混合集成电路;施密特触发器;波形的产生与交换 555芯片引脚图及引脚描述 555的8脚是集成电路工作电压输入端,电压为5~18V,以UCC表示;从分压器上看出,上比较器A1的5脚接在R1和R2之间,所以5脚的电压固定在2UCC/3上;下比较器A2接在R2与R3之间,A2的同相输入端电位被固定在UCC/3上。 1脚为地。2脚为触发输入端;3脚为输出端,输出的电平状态受触发器控制,而触发器受上比较器6脚和下比较器2脚的控制。 当触发器接受上比较器A1从R脚输入的高电平时,触发器被置于复位状态,3脚输出低电平; 2脚和6脚是互补的,2脚只对低电平起作用,高电平对它不起作用,即电压小于1Ucc/3,此时3脚输出高电平。6脚为阈值端,只对高电平起作用,低电平对它不起作用,即输入电压大于2 Ucc/3,称高触发端,3脚输出低电平,但有一个先决条件,即2脚电位必须大于1Ucc/3时才有效。3脚在高电位接近电源电压Ucc,输出电流最大可打200mA。 4脚是复位端,当4脚电位小于0.4V时,不管2、6脚状态如何,输出端3脚都输出低电平。 5脚是控制端。 7脚称放电端,与3脚输出同步,输出电平一致,但7脚并不输出电流,所以3脚称为实高(或低)、7脚称为虚高。 1概述 1.1 555定时器的简介 555定时器是一种多用途的数字——模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与交换、测量与控制、家用电器、电子玩具等许多领域中都得到

555定时器构成的方波、三角波、正弦波发生器 设计报告

电子技术课程设计说明书 题目:555定时器构成得方波、三角波、正弦波发生器系部:歌尔科技学院 专业: 班级:2013级1班 学生姓名: 学号: 指导教师: 年月日

目录 1 设计任务与要求 (1) 2设计方案 (1) 2。1设计思路 (1) 2、1。1 方案一原理框图?1 2、1.2 方案二原理框图?2 2。2 函数发生器得选择方案?2 2、3 实验器材 (3) 3 硬件电路设计?4 3、1 555定时器得介绍............................................ 4 3。2 电路组成..................................................... 43。3 引脚得作用. (5) 3、4 基本功能?5 4 主要参数计算与分析 (7) 4。1 由555定时器产生方波?7 4、2 由方波输出为三角波 (9) 4。3 由三角波输出正弦波 (10) 5 软件设计 ......................................................... 12 5、1 系统组成框图?12 5。2元件清单................................................. 1314 6 调试过程? 6.1 方波—-—三角波发生电路得安装与调试 (14) 6。1。1按装方波——三角波产生电路?14 6、1。2调试方波——三角波产生电路 (14) 6.2 三角波-—-正弦波转换电路得安装与调试 (14) 6。2、1按装三角波——正弦波变换电路 (14) 6、2、2 调试三角波——正弦波变换电路?14 6、2。3总电路得安装与调试 ................................. 15 6。2、4调试中遇到得问题及解决得方法?15

555定时器简单的电路

每辆车上电子装置在整个汽车制造成本中所占的比例由16%增至23%以上。一些豪华轿车上,使用单片微型计算机的数量已经达到48个,电子产品占到整车成本的50%以上,目前电子技术的应用几乎已经深入到汽车所有的系统。汽车上的左、右闪光灯就是最普通的电子产品,今天我们就来学习如何使用555定时器设计闪光电路。 555定时器可方便地构成单稳态触发器,多谐振荡器,施密特触发器等电路,闪光电路一般是利用多谐振荡器产生的脉冲信号控制而成。 一、电路图如下:

闪光电路原理图1引脚原理图2 分析工作原理的时候,可以对照图1所示,这是一个典型的利用555设计的多谐振荡器,调节可变电阻可以改变输出的振荡信号的频率,信号从3脚输出一个高低电平,控制D1和D2。 当输出高电平的时候,D2亮,D1不亮。当输出低电平的时候,D2不亮,D1亮。总的效果看起来就是闪烁了。

需要制作实物的朋友可以对照图2制作,像这么一个比较简单的电路,可以购买少量的元件,用万能板(洞洞板)焊接而成,当然焊接的时候,需要一定的焊接技术,如果焊接技术不行的朋友,一定要练习焊接技术,我们比较提倡在电子制作过程中采用拖焊技术,具体实物产品,可以参照图3和图4。 二、元件清单如下: 需要制作的朋友,可以到电子市场购买以上元器件,都是非常常用的元器件,容易购买。笔者建议去网上购买,初步估计所有的材料加在一起,价格在5元以内。 三、闪光器实物图 图3 闪光器实物图

图4闪光器背面走线图 在制作的时候,一定要注意555定时器的引脚功能,比如1脚接地,8脚接电源,和普通的DIP集成电路有些不一样,当制作完成的时候,如果LED灯不闪烁,就要检测了,首先检测1脚和8脚电压是否正常,然后再检测4脚电压是否正常,2脚和6脚是否已经连在一起来,如果这些都正常了,故障基本会被排除了。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

555定时器芯片工作原理

555定时器芯片工作原理,功能及应用 -------------------------------------------------------------------------------- - 555定时器芯片工作原理,功能及应用 555定时器是一种数字电路与模拟电路相结合的中规模集成电路。该电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳态触发器和多谐振荡器等,因而广泛用于信号的产生、变换、控制与检测。 一、555定时器 555定时器产品有TTL型和CMOS型两类。TTL型产品型号的最后三位都是555,CMOS 型产品的最后四位都是7555,它们的逻辑功能和外部引线排列完全相同。 555定时器的电路如图9-28所示。它由三个阻值为5k?的电阻组成的分压器、两个电压比较器C1和C2、基本RS触发器、放电晶体管T、与非门和反相器组成。 电压比较器的功能:比较两个电压的大小(用输出电压的高或低电平,表示两个输入电压的大小关系): 当”+”输入端电压高于”-”输入端时,电压比较器输出为高电平; 当”+”输入端电压低于”-”输入端时,电压比较器输出为低电平 图9-28 555定时器原理图 分压器为两个电压比较器C1、C2提供参考电压。如5端悬空,则比较器C1的参考电压为,加在同相端;C2的参考电压为,加在反相端。 是复位输入端。当=0时,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。正常工作时,=1。

u11和u12分别为6端和2端的输入电压。当u11>,u12> 时,C1输出为低电平,C2输出为高电平,即=0,=1,基本RS触发器被置0,晶体管T导通,输出端u0为低电平。 当u11<,u12< 时,C1输出为高电平,C2输出为低电平,=1,=0,基本RS触发器被置1,晶体管T截止,输出端u0为高电平。 当u11<,u12> 时,基本RS触发器状态不变,电路亦保持原状态不变。 综上所述,可得555定时器功能如表9-13所示。 表9-13 555定时器功能表 输入输出 复位u11 u12 输出u0 晶体管T 0 ××0 导通 1 > > 0 导通 1 < < 1 截止 1 < > 保持保持 一、555定时器的应用 1.单稳态电路 前面介绍的双稳态触发器具有两个稳态的输出状态和,且两个状态始终相反。而单稳态触发器只有一个稳态状态。在未加触发信号之前,触发器处于稳定状态,经触发后,触发器由稳定状态翻转为暂稳状态,暂稳状态保持一段时间后,又会自动翻转回原来的稳定状态。单稳态触发器一般用于延时和脉冲整形电路。 单稳态触发器电路的构成形式很多。图9-29(a)所示为用555定时器构成的单稳态触发器,R、C为外接元件,触发脉冲u1由2端输入。5端不用时一般通过0.01uF电容接地,以防干扰。下面对照图9-29(b)进行分析。

电子测量综合实验报告555信号发生器

电子测量综合实验报告 555信号发生器 报告人: 学号: 专业: 指导老师: 2010年 12 月 10 日 目录 一、实验目的: 二、实验任务与要求: 三、设计方案论证: 四、整体电路设计和分析计算

五、电路仿真分析 六、电路安装与调试 七、实验结果和误差分析 八、实验总结 九、附录:元器件清单/程序清单 一、实验目的 1、将电子测量课程所学的测量原理、数据处理、误差分析等知识用于实践,学 以致用; 2、巩固模电、数电等课程知识将其用于整个综合实验的分析计算过程; 3、熟悉各测量仪表的使用,提高实际动手操作能力。 二、实验任务与要求 1、制成的555信号发生器能产生矩形波、三角波、正弦波三种波形; 2、该信号发生器频率和幅值可调; 3、各误差控制在合理范围内。 三、设计方案论证 1、实验方案 本信号发生器使用555芯片作为多谐振荡器产生矩形波,通过积分形成三角波,再经RC低通滤波形成正弦波。电路原理图如下 2、关于555芯片

上学期的数电课程就学习了555芯片。该芯片是模电和数电相结合的中规模集成电路,设计十分巧妙,广泛用于信号的产生、变换、控制与检测。在数电中我们学习了由其构成的施密特触发器、单稳态触发器、多谐振荡器。关于555芯片原理、多谐振荡器原理,数电课已学过,此处不再赘述。 四、 整体电路设计和分析计算 1、电路各部分功能分析 1、发光二极管VD 为电源指示灯; 2、C1为电源滤波电容; 3、C2为定时电容,C2的充电回路是R2→R3→RP →C2; 4、C2的放电回路是C2→RP →R3→555的7脚(通过放电三极管); 5、隔直电容,还可以隔离前后网络; 6、积分电容,将矩形波积分产生三角波; 7、低通滤波积分网络,滤除三角波中的高中频成分,并再次积分产生近 似正弦波; 2、理论分析计算 (1)电容C2充电所需的时间为: Tph=(R3+R2+RP)C2㏑2 电容C2放电所需的时间为: Tpl=(R3+RP)C2㏑2 占空比= 1Tph Tp Tph + 振荡频率111[22(3)]2ln 2f Tp Tph R R RP C ==+++ 其中电位器RP 阻值为0至47K Ω

555定时器及其应用

实验六 555定时器及其应用 一.实验目的 1.熟悉555定时器的组成及功能。 2.掌握555定时器的基本应用。 3.进一步掌握用示波器测量脉冲波形的幅值和周期。 二.实验原理 555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型

该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A 1、A 2基准电压分别为CC CC V 3 1 ,V 32的情况下,555时基电路的功能表如表6—1示。

输出高电平时间

2)Vi接连续脉冲f = 512HZ,用示波器观察、记录Vi、V2、V C及V O的波形(以Vi为触发信号),测出V O的脉冲宽度t W,且与理论值相比较。 4.设计一个用555定时器构成的方波发生器,要求方波的周期为1ms,占空比为5%。 四.预习要求 1.搞清555定时器的功能和应用 2.理论计算出实验内容1多谐振荡器的输出方波的周期T 3.理论计算实验内容3 中2)输出脉冲宽度t W。 4.搞清图6—5中R1、C1微分电路的作用。V i为连续脉冲,对应地分析、画出V2的波形。 五.思考题 1.用两片555定时器设计一个间歇单音发生电路,要求发出单音频率约为1KHZ,发音时间约为0.5S,间歇时间约为0.5S。 2.图6—4电路中指出电容C充电途径、放电途径。写出振荡周期T和占空比表达式。理论计算出实验内容2、3两种情况下的占空比。 3.图6—5中,设微分电路的输入连续脉冲周期为T i,R1、C1的参数应如何选择? 4.实验内容3中,如果不采用R1、C1微分电路,即V i直接接至定时器的2脚,是否还能得到原来脉冲宽度t w的输出脉冲。 六.实验仪器与器材 1.电子技术实验箱MS-ⅢA型1台 2.直流电源(+5V)DS-2B-12型1台 3.示波器5020B型1台 4.万用表MF-47型1只 5.555定时器1只

555定时器的原理及三种应用电路

实验10 555定时器的原理及三种应用电路 「、实验目的 (1) 掌握555定时器的电路结构、工作原理。 (2) 熟悉555定时器的功能及应用。 :■、实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 CB555定时器;100Q ~100k Q电阻;0.01~100卩F电容;1k Q和5k Q电位器; 发光二极管或蜂鸣器。 三、实验内容 (1)按图2-10-3连接施密特触发器电路,分别输入正弦波、锯齿波信号,观察并记录输出输入波形。 1?实验原理 当输入电压《::」V cc时,=V TR:::'CC V。为高电平 3 3 1 2 当-V cc : V i:-时,乂保持高电平。 3 3 2 2 当V i ?—V CC,V TH -V TR -V cc 时,V o 为低电平。 3 3 1 2 V由大变小时,即-v cc : V :-时,V)保持低电平。 3 3 一旦V「:-V cc,则V o又回到高电平。 3 2?仿真电路如图:

3?实验结果: 输入正弦波: 输入锯齿波:

(2)设计一个驱动发光二极管的定时器电路,要求每接收到负脉冲时,发光管持续点亮秒后熄灭。 2 1?实验原理: 由555定时器构成单稳态触发器,由单稳态触发器的功能可知,当输入为一个负脉冲 时,可以输出一个单稳态脉宽T W,且T W=1.1RC。所以想要使发光二极管接收到负脉冲时, 持续点亮2S,即要使T W=2S所以,需选定合适的R、C值。选定R、C时,先选定C的值 为100uF,然后确定R的值为18.2k Q。 2.仿真电路如图: 波形图为:

若是1秒或者是5秒。只需改变R 与C 的大小,使得脉冲宽度 T=1.1RC 分别为1或是5 即可。1 秒时: C=1OOuF, R=9.1k Q 5 秒时:C=1OOuF , R=45.5k Q 。 (3) 按图 2-10-7连接电路,取 R 仁1k Q , R2=10k Q ,C 仁0.1卩F,C2=0.01卩F ,观察、记录 V Cr 、V O 的同步波形,测出 V 。的周期并与估算值进行比较。改变参数 R1=15k Q , R2=10k Q ,C1=0.033卩F,C2=0.1卩F ,用示波器观察并测量输出端波形的频率。 经与理论估算值比较, 算出频率的相对误差值。 1?实验原理 555定时器构成多谐振荡器。 1 当加电后,V cc 通过R |,R 2 对R 充电,充电开始时V Cr =V TH =V TR £-V cc ,所以 V O =1。 3 1 2 当V Cr 上升到-V cc

用555电路原理构成单稳态电路及其应用

用555电路原理构成单稳态电路 及其应用 作者:朱刚 兰州理工大学 07级自动化(一)班 学号:07220103

用555电路原理构成单稳态电路及其应用 作者:朱刚 摘要:本文应用555定时器的基本原理,构成了单稳态电路,并用555定时器构成的单稳态电路设计了楼道灯光的开关控制器,还构成了一个分频电路,可将高频脉冲变换为低频脉冲。 关键词:555定时器、单稳态电路、灯光控制器、分频器。 一、前言:555 定时器是电子工程领域中广泛使用的一种中规模集成电路,它将模拟 与逻辑功能巧妙地组合在一起,具有结构简单、使用电压范围宽、工作速度快、定时精度高、驱动能力强等优点。555 定时器配以外部元件,可以构成多种实际应用电路。广泛应用于产生多种波形的脉冲振荡器、检测电路、自动控制电路、家用电器以及通信产品等电子设备中。 二、555定时器基本原理 (参考:《数字电子技术基础》 第四版阎石) 1、555定时器内部电路如图1所 示。 2、555定时器功能表如表1。 三、用555定时器构成单稳态电路 1、电路结构

电路如图2所示,该电路在555电路的基础上,外加电阻R1,R2和电容C1组成。 2、工作原理 触发信号从TRI 端输入,没有触发信号时TRI 输入的是高电平(13 CC V >)。 接通电源时触发器可能处于0,也可能处于1。 1)、假设通电时 Q=0,则三极管T 导通,0THR ≈,图 1中R=S=1,Q=0,Vo=0,且这一状态稳定的保持住,除非TRI 端有有效的触发脉冲。 2)、假如通电时 Q=1,这时三极管T 截止,Vcc 经电阻R1向电容C1充电。当C1两端电压被充到 12 3 C CC V V =时,R=0,触发器 被置0,Vo=0,T 导通,C1经 T 放电至0,THR=0,R=S=1,电路回到稳态。 当电路处于稳态,TRI 端输入有效的触发脉冲(低电平13 CC V <)时,S=0,触发器置 1,Vo=1 。T 截止,Vcc 经R1 向电容C1充电,至12 3 C CC V V =时,R=0,触发器置0,Vo=0,T 导通,电容放电至0 ,电路又回到稳态。 电路中R2阻值较大,起到断电时为C1提供放电通路,电路正常工作时由于R2阻 值远大于R1。对输出脉冲宽度的影响可忽略。 3、输出脉冲宽度w T 在忽略电阻R2影响的情况下,输出脉 冲宽度约等于电容C1从0充电至23 CC V 的时间。 即: 11ln 1.11123 w CC Vcc T R C R C Vcc V -=≈- 图 3所示为用LM555CM 接成的单稳态电路,触发信号从TRI 输入。该单稳态电路输出脉冲宽度15w T s ≈。 四、 楼道灯光控制器

函数信号发生器-课程设计2.

长安大学 电子技术课程设计 课题名称函数信号发生器 班级 __******____ 姓名 指导教师 *** 日期 本次电子技术课程设计是指通过所学知识并扩展相关知识面,设计出任务所要求功能的电路,利用计算机辅助设计的电路仿真,检测并调整电路,设计功能完整的电路图。我们所选择的课设题目是函数信号发生器。函数发生器一般是指能自动产生正弦波、方波、三角波的电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。 在资料收集后,将设计过程分为三部分:一是系统模块设计,设计电路的系统思想,设计出能满足电路功能的各个模块,画出系统的框图。二是针对各个模块分别设计电路的各个具体模块的具体电路,并且分别进行仿真和改进。三是将所有的模块综合在一起,画出系统总图,并用multisim 软件进行仿真,针对仿真过程中出现的一些问题仔细检查,对比各个方案的优点和缺点,选出最佳的方案,修改不完善的部分。 最后,对此次课程设计进行总结,反思自己在各个方面的不足,对设计方案中的各个思想进行归纳总结,比较各种方案的优缺点,总结每种设计方案的应用领域和使用范围,为以后得学习实践提供经验。最终提高我们的学习和动手能力。 前言.......................................................................................2 摘要 (4)

第一章数信号发生器系统概述 (5) 1.1总体设计方案论证及选择 (5) 1.2函数信号发生器总体方案框图 (5) 第二章单元电路设计分析 (6) 2.1 信号发电路设计框图 (6) 2.2方波发生电路 (7) 2.3方波——三角波转换电路 (8) 2.4三角波——正弦波转换电路 (9) 2.5.5数字显示输出信号频率和电压幅值 (11) 第三章电路的安装与调试 (15) 3.1方波产生的结果 (15) 3.2方波转换为三角波的结果 (15) 3.3三角波转换为正弦波的结果 (16) 3.4数字显示频率和幅值的结果 (16) 第四章结束语........................................................................ 17 参考文献.............................................................................. 17 附录一器件清单列表............................................................... 18 附录二总体设计图............................................................... 18 收获及体会..............................................................................19 鸣谢 (20)

555定时器及其应用

9.1 图题9.1是用两个555定时器接成的延时报警器。当开关S 断开后,经过一定的延迟时间后,扬声器开始发声。如果在延迟时间内开关S 重新闭合,扬声器不会发出声音。在图中给定参数下,试求延迟时间的具体数值和扬声器发出声音的频率。图中G 1是CMOS 反相器,输出的高、低电平分别为V OH =12V ,V OL ≈0V 。 (+12V) 图题9.5 解:1.工作原理: 图题9.1由两级555电路构成,第一级是施密特触发器,第二级是多谐振荡器。施密特触发器的输入由R 1、C 1充放电回路和开关S 控制,当S 闭合时,V C =0V ,施密特触发器输出高电平。施密特触发器的输出经反相器去控制多谐振荡器的R D 端,当施密特触发器的输出为高电平时,R D =0,多谐振荡器复位,扬声器不会发出声音。当开关S 断开 后,R 1、C 1充放电回路开始充电,V C 随之上升,但在达到CC T 32 V V =+之前,施密特触 发器的输出仍为高电平时,R D =0,扬声器仍不会发出声音。这一段时间即为延迟时间。 一旦V C 达到CC T 32 V V =+,施密特触发器触发翻转,输出低电平,R D =1,多谐振荡器工 作,扬声器开始发声报警。 2.求延迟时间: 延迟时间由R 1、C 1充放电回路的充电过程决定: τ t e v v v v -+ ∞-+∞=)]()0([)(C C C C 将 V 12)(CC C ==∞V v )0(C +v =0V τ=R 1C 1代入上式,得: )1(1 1CC C C R t e V v --= t=t 1时,CC C 3 2 V v =代入上式,整理得延迟时间: t 1= R 1C 1ln3≈1.1 R 1C 1=1.1×106+10×10-6=11S 扬声器发声频率:MHz 95.01001.010157.01 )2(7.016 3232≈????=+= -C R R f

555多音信号发生器(课程设计)资料

电子技术基础课程设计任务书 2014-2015学年第二学期第17周-18周 题目多音发生器 内容及要求 1、电路由555构成; 2、根据开关的转换能发出不同的声音。 进度安排 1、方案论证2天 2、分析、设计、3天 3、焊接、调试、实现3天 4、检查、整理、写设计报告、小结2天 学生姓名:138202226徐斌138202227杨帆 指导时间2015年7月1日指导地点:八大楼117室任务下达2015年6月23日任务完成2015年7月3日 考核方式 1.评阅√ 2.答辩√ 3.实际操作√ 4. 其它□ 指导教师彭洁老师系(部)主任 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

目录 1课程名称 (2) 2 任务设计及要求........................................... 错误!未定义书签。 3 设计方案及其比较 (3) 3.1 方案一 (3) 3.2 方案二 (4) 4 实现方案 (4) 4.1 NE555定时器的内部结构和工作原理 (4) 4.2 实现变音信号发生器电路图及其原理 (5) 5 计算机仿真 (6) 6.1 电路的连接 (7) 6.2 电路的调试 (9) 6.3 结论 (9) 7 参考文献 (9)

多音信号发生器电路的设计 1、课程名称:多音信号发生器电路的设计 2、设计任务及要求 设计一个多音发生器,使它能按一定规律交替发出两种不同的声音。 要求:1)、电路由555构成; 2)、根据开关的转换能改变发出不同的声音。 3、设计方案及其比较 3.1 方案一 电路如图1所示。 图1 方案一电路原理图 电路主要由两片555定时器组成,第一片实现多谐振荡器,输出周期变化的高低电平,输出端3接到第二片的5端。利用二极管的单向导特性,使电容器C 11 的充放电回路分开: 充电时,Vcc经R 11和R p1 后流经D 1 对电容C充电;放电时,电容C两端电压经过D 2 ,R p1 流 到该片定时器的7端。 当第一片输出为高电平时,第二片2和6端的参考电压分别为1/2V o1和V o1 ;当第一片

基于555定时器的函数信号发生器设计

2013-2014学年度第二学期电子技术基础课程 调 研 报 告 课题名称:基于555定时器的 信号发生器设计 专业:物理学 学号:********* 姓名:** ** ** 成绩:

1、调研任务与要求 设计一个信号发生器,独立完成系统设计,要求能实现以下功能: (1)能产生方波、三角波、正弦波 2、调研目的 (1)进一步巩固熟悉简易信号发生器的电路结构及电路原理并了解波形的转变方法;(2)学会用简单的元器件及芯片制作简单的函数信号发生器,锻炼动手能力; (3)学会调试电路并根据结果分析影响实验结果的各种可能的因素 3、设计方案论证 信号发生器一般由一个电路产生方波或者正弦波,通过波形变换得到其他几种波形。考虑到RC震荡产生正弦波的频率调节不方便且可调频率范围较窄,本设计采用先产生方波,后变换得到其他几种波形的设计思路。 采用555组成的多谐振荡器可以在接通电源后自行产生矩形波,再通过积分电路将矩形波转变为三角波,再经积分网络转变为正弦波。 4、555定时器的电路结构与工作原理

555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器 和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC若触发输入端TR 的电压小于VCC /3,则比较器 C2 的输出为0,可使 RS 触发器置1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于2VCC/3,同时TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为1,可将 RS 触发器置0,使输出为0电平。 它的各个引脚功能如下: 1脚:外接电源负端VSS或接地,一般情况下接地。 8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路的范围为3 ~ 18V。一般用5V。 3脚:输出端Vo 2脚:低触发端 6脚:TH高触发端 4脚:是直接清零端。当此端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。 5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。 7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A1、A2基准电压分别为的情况下,555 时基电路的功能表如表1示。 表1

相关文档
最新文档