多进制数字相位调制系统课程设计概论

合集下载

多进制数字调制系统PPT课件(通信原理)

多进制数字调制系统PPT课件(通信原理)
若各信号状态出现的概率相等,则调制信 号的平均发送功率
13
8PSK信号点
14
在L=8 的5种信号星座图可以看 出,(4) 是最佳的一种方案
在同样的性能下,即在保证信 号状态点之间的最小距离为2 的情况下,(4)方案所用的平 均信号功率最小.
15
1
6.4.1 MASK
L电平的调制信号
可看成由时间上不重叠的L个不同振幅值 的OOK信号的叠加,因而,其功率谱密度便是这L 个信号的功率谱密度之和,尽管叠加后的谱结构 很复杂,但就带宽而言,L电平调制信号的带宽与 二电平的相同.
2
A(t)
×
x(t)
A(t)
BPF
× LPF 抽样判决
… 门限电平
每个四进制码元又被称为双比特码元
ab
(A方式) (B方式)
00 10 11 01
0° 90° 180° 270°
225° 315° 45° 135°
8
10
01
11
11
00
参考相位
参考相位
00
10
01
QPSK信号的矢量图
9
a
×
输入
串/并变换
-π/2
b
×
输出
+
调制
×
LPF
抽样判决
a
-π/2
并/串
×
多进制数字调制系统
特点 1. 在相同的码元传输速率下,信息传输速
率比二进制系统高。 Rb=RBN㏒2N b/s 2. 在相同的信息传输速率下,多进制码元
传输速率比二进制低。增大码元宽度, 会增加码元的能量,并能减少由于信道 特性引起的码间干扰的影响。 3. 在相同的噪声下,多进制数字调制系统 的抗噪声性能低于二进制数字调制系统。

毕业论文-多进制数字信号调制系统

毕业论文-多进制数字信号调制系统

本科毕业设计(论文)题目多进制数字信号调制系统设计学生姓名XX 学号0907050208教学院系电气信息学院专业年级通信工程2009级指导教师汪敏职称讲师单位西南石油大学辅导教师职称单位完成日期2013 年 6 月9 日Southwest Petroleum UniversityGraduation ThesisSystem Design of M-ary Digital Signal ModulationGrade: 2009Name:Liu ShaSpeciality: Telecommunications EngineeringInstructor: Wang MinSchool of Electrical Engineering and Information摘要由于数字通信系统的实际信道大多数具有带通特性,所以必须用数字基带信号对载波进行数字调制。

也因此,数字调制方法成为了当今的热点研究对象,其中最常用的一种是键控法。

在带通二进制键控系统中,每个码元只能传输1比特的信息,其频带利用率不高,而频率资源又是极其宝贵的,为了能提高频带利用率,最有效的办法是使一个码元能够传输多个比特的信息,这就是本文主要研究的多进制数字调制系统,包括多进制数字振幅调制(MASK)、多进制数字频率调制(MFSK)和多进制数字相位调制(MPSK)。

多进制键控系统可以看作是二进制键控系统的推广,可以大大提高频带利用率,而且因其抗干扰性能强、误码性能好,能更好的满足未来通信的高要求,所以研究多进制数字调制系统是很有必要的。

本文通过对多进制数字调制系统的研究,采用基于EP2C35F672C8芯片,运用VHDL硬件描述语言,完成了多功能调制器的模块化设计。

首先实现多进制数字振幅调制(MASK)、多进制数字频率调制(MFSK)和多进制数字相位调制(MPSK) 的设计,将时钟信号通过m序列发生器后产生随机的二进制序列,再通过串/并转换器转换成并行的多进制基带信号;其次分别实现数字调制模块2-M电平变换器、分频器以及四相载波发生器的设计;最后在顶层文件中调用并结合四选一多路选择器,从而完成多功能调制器的设计。

6.4.3 多进制数字相位调制系统[共5页]

6.4.3 多进制数字相位调制系统[共5页]

第6章 数字信号频带传输系统 225(共2k M =种状态),每一个码对应于逻辑电路某个输出信号。

在一个码元宽度s T 内,当输入某组二进制数字序列时,逻辑电路将输出某个控制信号使相应的门电路打开,同时使其余门电路关闭,于是从M 个不同频率的正弦载波中选出相应的一个波形,经相加器相加后送出。

接收端采用非相干解调方式,先通过M 个中心频率分别为各载频频率1f ,2f ,…,M f 的带通滤波器把输入信号分离成M 个2ASK 信号,再经包络检波器检测,由判决器在给定时刻上比较各包络检波器输出的电压,并选出最大者作为输出。

2.MFSK 信号的带宽及频带利用率键控法产生的MFSK 可以看作由M 个振幅相同、载频不同、时间上互不相容的2ASK 信号叠加的结果,所以MFSK 信号的带宽为 MFSK 1s s s 12,M B f f R R T =−+= (6.4-6)其中,M f 为最高载波频率,1f 为最低载波频率,s R 为码元速率。

由此可见,MFSK 信号占有较宽的频带,因而它的信道频带利用率不高。

6.4.3 多进制数字相位调制系统多进制数字相位调制又称为多相位调制,是二相调制方式的推广。

它是利用载波的多种相位(或相位差)来表征数字信息的调制方式。

和二相调制相同,多相调制也分绝对移相MPSK 和相对(差分)移相MDPSK 两种。

1.多相制的表示式及相位配置设载波为c cos t ω,相对于参考相位的相移为n ϕ,则m 相调制波形可表示为MPSK s c s c s c ()()cos()[()cos ]cos [()sin ]sin n nn n n n e t g t nT t g t nT t g t nT tωϕϕωϕω=−+=−−−∑∑∑ (6.4-7)式中,()g t 是高度为1、宽度为s T 的门函数;c ω为载波角频率。

1122n M MP P P θθϕθ⎧⎪⎪=⎨⎪⎪⎩…概率为概率为概率为,,, (6.4-8) 令 1122cos cos cos cos n n M M P P P a θθϕθ⎧⎪⎪==⎨⎪⎪⎩…概率为概率为概率为,,, (6.4-9)。

基于FPGA的多进制数字相位调制系统设计--课程设计说明书

基于FPGA的多进制数字相位调制系统设计--课程设计说明书

<<通信原理>>课程设计说明书题目基于FPGA的多进制数字相位调制系统设计学院:电信学院学生姓名:xx指导教师:职称实验师专业:通信工程班级:完成时间:2017年6月目录摘要 (1)Abstract................................................................. 错误!未定义书签。

1 绪论 (2)1.1课题背景 (2)1.2研究现状 (2)1.3课题研究的目的和意义 (2)2硬件平台概述 (3)2.1FPGA简介 (3)2.2FPGA系统设计流程 (9)2.3 QuartusⅡ简介 (10)3系统算法介绍 (15)3.1曼彻斯特编码简介 (15)3.2曼彻斯特编码的原理 (15)3.3数字调制技术概述 (15)3.4FSK调制原理以及其特点 (16)4系统方案设计 (20)4.1 VHDL硬件描述语言 (20)4.2 功能模块介绍 (21)5总结与展望 (28)5.1总结 (28)5.2展望 (28)致谢 (32)参考文献 (32)附录1:中文文献 (32)附录2:设计总图 (35)摘要在科学技术迅速发展尤其是在通信领域以及电子信息方面的发展更为突出的今天,设计者需要一个高速通用硬件平台来实现并验证自己的通信系统和相关算法。

FPGA(现场可编程门阵列)作为一种大规模可编程逻辑器件,体系结构和逻辑单元灵活、集成度高、适用范围宽,并且设计开发周期短、设计制造成本低、开发工具先进并可实时在线检验,广泛应用于产品的原型设计和产品生产。

与传统的DSP(数字信号处理器)或GPP(通用处理器)相比,FPGA在某些信号处理任务中表现出非常强的性能,具有高吞吐率、架构和算法灵活、并行计算、分配存储以及动态配置等优势,因此非常适合用于设计验证高速通信系统的基带处理部分。

基于FPGA的通信系统基带设计验证平台采用大容量、高性能的FPGA器件,为通信系统的基带设计提供了一个有效的硬件实现平台。

多进制数字调制技术

多进制数字调制技术

概述
特点
在相同的码元传输速率下,多进制调制系统信息传输速率 比二进制系统高。
Rb RBN log 2 N
b
s
在相同的信息传输速率下,多进制码元传输速率比二进制 低。增大码元宽度,会增加码元能量,并能减少由于信道 特性引起的码间干扰的影响。 在相同的噪声下,多进制数字调制系统的抗噪声性能低于 二进制数字调制系统。
k
RS W 1
概述
常见的多进制调制:多振幅调制(MASK)、多频率调制、多相位调 制以及它们的组合等。 多进制调制提高了信息速率,同时节约了频带。但是误码率会增加。
概述
在相同时间内二进制编码只传输6位二进制数,但多进制 编码共传输了12位二进制
(a)用二进制数进行传输二进制数“101101”的波形图 ( b )是用四进制数传输四进制数 “011011100010 (用二进制表示四 进制数)的波形图
项目1-2 数字调制技术
鄢立
多进制调制技术


Contents
02
01
概述 多进制数字调制技术
Part
01
概述
鄢 立
概述
为了有效利用频带,提高信息传输速率而采用多进制调制。 多进制调制通常以降低功率利用率为代价来提高其频带利用 率。 (1)频带利用率——单位频带内所能传输的最大比特率。频 带利用率大于2bit/Hz的调制为高效调制。 (2)功率利用率——误码率达到要求时所需的最小信号与噪 声的功率比值。
多进制频移键控(MFSK)
利用串并变换电路和逻辑电路将输入的二进制码转换成多 进制码。当某组二进制码到来时,逻辑电路的输出仅打开 相应的一个门电路,将和该门电路相应的载波发送出去; 其他频率对应的门电路此时是关闭的。当一组组二进制码 元输入时,通过相加器输出的就是一个多进制频率键控的 波形。

MQAM(M进制正交幅度调制)

MQAM(M进制正交幅度调制)

多进制数字频率调制MFSK
• MFSK非相干解调的抗噪声性能
Pe 1 Pk i
Pe xe
0
2 [( x 2 a 2 ) / n ]/ 2
M 1
相互独立
x 2 / 2 M 1
IO (
xa
n
)[1 (1 e
)
M 1 ]dx e 2 2
M 1 n0
3A 2A A O t 2 3 0 1
P
n
1
TB
多进制数字振幅调制MASK
• 带宽
–其功率谱密度为 PMASK(f)=1/4[G(f+fC)+G(f-fC)]
与2ASK一样,带宽 为基带信号的二倍 ,但可传送log2M信 息量。如要求传送 信息量相同,则可 加大TS,减小带宽
• 调制解调
1 2

M
逻 2 辑 电 路
M
f2

fM

门电路
信 道

带通fM

检波器
多进制数字频率调制MFSK
• 一般 MFSK 信号的相位不连续,它可看成是 M 个振幅相 同、载频不同、时间上互不相容的2ASK信号的叠加
• MFSK的信号带宽
一般定义为 BMKSK=fM-fL+Δ f fM为最高选用载频;fL为最低选用载频; Δ f为单个码元信号 的带宽。
• MFSK相干解调的抗噪声性能
Pe 1 2


e
1 a 2 ( x ) 2 n
1 u2 / 2 [1 ( )e du]M 1 dx ( M 1)Q( ) 2
x
多进制数字频率调制MFSK
Pe

通信原理多进制数字调制系统PPT课件

通信原理多进制数字调制系统PPT课件

平均功率相同
11
01
00 10 01
4ASK
1 1 010010 01
2ASK
.
10
MASK与2ASK比较
载波振幅间隔相同
11
01
00 10 01
4ASK
1 1 010010 01
2ASK
.
11
5.5.1 多进制振幅键控
11
11
11
10
10
10
• 四、MASK信号带宽
01
01
01
00
00
0
t
2 Ts
三、抗噪声性能
非相干解调 相干解调
Pe
M 1 2
r
e2
r=E/n0为平均接收 信号的信噪比
Pe M21erfc
r 2
.
17
5.5.2 多进制频移键控
数字通信原理
联合战术通信教研室 张伟明
理工大学通信工程学院
.
1
5.5 多进制数字调制系统
5.5.1 多进制振幅键控(MASK) 5.5.2 多进制频移键控(MFSK) 5.5.3 多进制相移键控(MPSK) 5.5.4 多进制差分相移键控(MDPSK) 5.5.5 振幅相位联合键控系统(APK)
.
AM ,
发送概率为P1 发送概率为P2
M 发送概率为PM
M
Pi 1
i1
.
7
5.5.1 多进制振幅键控
三、MASK的能量与抗噪声性能间的关系
在一个码元间隔内 t(0,Tb],只能发射某一特定幅度的信号
si(t)A ig(t)co s2 fct
Ai表示与M=2k个可能的k个比特码元对应的 M个可能的离散幅值

QPSK 调制解调

QPSK 调制解调
四相绝对相移键控 QPSK是属于多进制数字相位调制,它是利用载波的四种不同相 位来表征输入的数字信息。目前广泛应用于数字微波通信系统,数字卫星通信系统,宽 带接入与移动通信,以及有线电视的上行传输。但四相相移键控信号,在码元交替处的 载波相位往往是突变的,当相邻的两个码元同时转换时,会出现±π的相位跳变,这会 使调相波的包络上出现零(交)点,其信号功率谱上将产生很强的旁瓣分量。因此从 QPSK方式派生出一种新的相位调制方式,交错四相相移键控(OQPSK)。即在 QPSK调 制的正交支路上引入一个比特(半个码元)的时延,使得两个支路的数据不会同时发生 变化,从而不可能产生±π的相位跳变,仅产±π/2的相位跳变。
关键词: 相移键控 四相移键控 QPSK调制 相干解调
I
×××大学本科毕业设计
QPSK Demodulation Experimental Design
Abstract:Indigitalcommunication,weoftenmodulatanddemodulat digital
signalbyFSKandPSKtotransmitdigitalinformation。 Nowadays,PSKoftenuse QPSK and NPSK. Any digital modulation scheme uses a finite number of distinct signals to represent digital data. PSK uses a finite number of phases, each assigned a unique pattern of binary digits. Usually, each phase encodes an equal number of bits. Each pattern of bits forms the symbol that is represented by the particular phase. The demodulator, which is designed specifically for the symbol-set used by the modulator, determines the phase of the received signal and maps it back to the symbol it represents, thus recovering the original data. This requires the receiver to be able to compare the phase of the received signal toareferencesignal— suchasystemistermedcoherent(andreferredtoas CPSK). This text is detailed on the concept,implement and principle of QPSK. It also detailed introduces the designedand realize of QPSK.

多进制数字调制(二)

多进制数字调制(二)

时,频带利用率为
1
1
log2
M (b
/
s
/
Hz)
两种形状MQAM 的比较
• 方型与星型MQAM
(- 3 ,3 ) (- 3 ,1 )
(3 ,3 ) (3 ,1 )
(- 3 ,- 3 )
(- 1 ,- 1 ) (- 1 ,1 )
(3 ,- 3 )
(a)
(0 ,4 .6 1)
(0 ,2 .6 1)
恒定包络调制
• 如果每个符号包络是矩形 的,则已调信号包络是恒
Àí Ïë µÄ QPSKÐÅ ºÅ
定的,但此时已调信号频
t
谱→∞。
– 实际信道是限带的,限带后如
QPSK信号已不能保持恒包络。 – 相邻符号间发生180º相移时,经
ÂË ²¨ºó µÄ QPSKÐÅ ºÅ
限带后会出现包络为0的现象
t
• 经非线性放大后,包络中的起伏
n
MAPK信号 可以看作两 个正交调制
信号之和
-[ An g(t-nTS ) sinn ]sinCt
n
sAPK [ X ng(t nTS )]cosC t
n
-[ Yn g(t nTS )]sinCt
n
正交振幅调制 QAM
• 正交振幅调制QAM原理
– 用两个独立的基带波形对两个互相正交的同频载 波进行抑制载波的双边带调制(DSB-SC),利用这
y
16PSK
x
16QAM
y x
16PSK
QAM:正交幅度调制 APK:幅度相位联合键控
16QAM
y
16APK
x
16APK
振幅相位联合调制系统

第17讲 多进制数字调制原理

第17讲 多进制数字调制原理

相加 电路
s(t)
b
相乘 电路
图7-37 第一种QPSK信号产生方法
通信原理
第6章 数字带通传输系统
码元串并变换: 0
0 1
1 2 3 4 (a) 输入基带码元
2 4 (b) 并行支路a码元
5
t t t
3 5 (c) 并行支路b码元 图7-38 码元串/并变换
通信原理
第6章 数字带通传输系统
矢量图:
f1 00
f2 01
f3 10
f4 11
(b) 4FSK信号的取值
通信原理
第6章 数字带通传输系统
• MFSK信号的带宽: B = fM - f1 + f 式中, f1 - 最低载频 fM - 最高载频 f - 单个码元的带宽
通信原理
第6章 数字带通传输系统
• MFSK非相干解调器的原理方框图:
通信原理
第6章 数字带通传输系统
– 正交相移键控(QPSK) • 4PSK常称为正交相移键控(QPSK) • 格雷(Gray)码 – 4PSK信号每个码元含有2 比特的信息,现用ab代表 这两个比特。 – 两个比特有4种组合,即00、01、10和11。它们和 相位k之间的关系通常都按格雷码的规律安排,如 下表所示。 QPSK信号的编码: a 0 0 1 1 b 0 1 1 0
01 10 11 11
10
01 00 00
10 t
0
(c) 基带多电平双极性不归零信号
11 01 00 00 11
01
10
10
10
0
t
(d) 抑制载波MASK信号
通信原理
第6章 数字带通传输系统
6.5.2 多进制频移键控(MFSK)

多进制数字调制1

多进制数字调制1

四、多进制数字相位调制系统
1、多进制数字相位调制
多进制数字相位调制又称多相调制,它是利用载波的多种不同相位来表征数字信息的调制方式。

与二进制数字相位调制相同, 多进制数字相位调制也有绝对移相调制和差分移相调制两种。

a) 信号矢量图
2PSK 的信号矢量图
⎩⎨⎧⇒︒⇒π载波相位”1二进制信息“
0载波相位”0二进制信息“
⎪⎪⎩
⎪⎪⎨⎧-⇒⇒2载波相位”1“2载波相位”0“ππ
QPSK 的信号矢量图
π/2体系QPSK
⎪⎪⎪⎩⎪⎪⎪⎨⎧-⇒⇒⇒⇒2载波相位”01二进制信息“载波相位”11二进制信息“
2载波相位”10二进制信息“0载波相位”00二进制信息“π
ππ
π/4体系QPSK
⎪⎪⎩⎪⎪⎨⎧︒⇒︒⇒︒⇒︒⇒135载波相位”01二进制信息“45载波相位”11二进制信息“315载波相位”10二进制信息“225载波相位”00二进制信息“
00 01 8PSK 的信号矢量图
⎪⎪⎪⎪⎪⎩⎪⎪⎪⎪⎪⎨⎧⇒⇒⇒⇒⇒⇒⇒⇒815载波相位”010二进制信息“13载波相位”011二进制信息“11载波相位”111二进制信息“89载波相位”110二进制信息“7载波相位”100二进制信息“5载波相位”101二进制信息“3载波相位”001二进制信息“载波相位”000二进制信息“ππ
ππππππ。

多进制数字调制与解调原理PPT课件

多进制数字调制与解调原理PPT课件
2020/2/18
四进制绝对移相键控(QPSK或4PSK)利用载 波的四种不同相位来表示数字信息。
由于每一种载波相位代表两个比特信息,因此每 个四进制码元可用两个二进制码元的组合来表示 双比特与载波相位的关系
双比特码元
a
b
0
0
1
0
1
1
0
1
载波相位(φn)
A方式
B方式

225°
90°
315°
180°
QDPSK信号是利用前后码元之间的相对相位 变化来表示数字信息。 若以前一双比特码元相位作为参考,Δϕn为 当前双比特码元与前一双比特码元初相差, 则信息编码与载波相位变化关系如下表所示
双比特码元
a
b
0
0
0
1
1
1
1
0
32
载波相位(φn)
0° 90° 180° 270°
可编辑
QDPSK信号产生原理图
2020/2/18
M进制数字相位调制信号可以表示为
式中:
g(t)--信号包络波形,通常为矩形波,幅度为1 Ts--码元时间宽度 ωc--载波角频率 ϕn--第n个码元对应的相位,共有M种取值
16
可编辑
表示形式二(正交形式)
2020/2/18
M进制数字相位调制信号也可表示为正交形 式
在信道频带受限时,为了提高频带利用率, 通常采用多进制数字调制系统。其代价是增 加信号功率和实现上的复杂性。
多进制数字调制:用多进制数字基带信号去 调制载波的振幅、频率或相位,则可相应地 产生多进制数字振幅调制、多进制数字频率 调制和多进制数字相位调制。
3
可编辑
多进制数字调制的特点
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录摘要 (I)Abstract (II)1 引言 (1)2 MPSK调制解调的原理 (2)2.1 MPSK调制原理 (2)2.2 4PSK信号产生 (3)2.3 4PSK信号的解调原理 (3)3 MPSK调制电路VHDL程序及仿真 (6)3.1 FPGA中MPSK的实现 (6)3.2 VHDL程序设计方法 (7)3.4仿真结果及分析 (8)4 MPSK解调程序及仿真结果 (10)4.1解调VHDL程序 (10)4.2 MPSK解调仿真结果 (12)5 心得体会 (13)6 参考文献 (14)摘要多进制数字相位调制也称多元调相或多相制。

它利用具有多个相位状态的正弦波来代表多组二进制信息码元。

本论文在FPGAP(Field-rogrammable Gate Array,现场可编程门阵列)上实现MPSK(多进制相移键控)调制解调的功能。

运用VHDL硬件描述语言进行编程,对整个MPSK系统进行仿真,得到仿真时序图,对程序代码进行XST综合,得到RTL视图。

仿真结果表明该设计的正确性以及可行性,更清晰直观的了解到MPSK调制解调的原理。

关键词:MPSK;FPGA实现;VHDL语言AbstractMulti-band digital phase modulation, also known as multi-phase or multiphase system. It is a sine wave having a plurality of phase states to represent a plurality of sets of binary information symbols. In this paper, to achieve MPSK (M-ary Phase Shift Keying) modulation and demodulation functions FPGAP (Field-rogrammable Gate Array, a field programmable gate array) on. Using VHDL hardware description language programming for the entire MPSK system simulation, simulation timing diagram of the program code XST synthesis, get RTL view. Simulation results show the correctness and feasibility of the design, intuitive to understand more clearly the principle of MPSK modulation and demodulation.Keywords: MPSK; FPGA realization; VHDL language1 引言FPGA(Field Programmable Gate Array)现场可编程逻辑门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC) 领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。

在修改和升级时,不需额外地改变PCB 电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本。

数字调制技术是现代通信系统中的关键技术之一,调制器性能的优劣将直接影响通信质阜的好坏。

用可编程逻辑器件通过对器件内部的设计来实现系统功能,是一种基于芯片的设计方法。

将可编程逻辑器件应用于数字通信系统的调制解调,可大大减轻电路设计和电路板设计的丁作量和难度,有效地增强设计的灵活性,提高工作效率。

本文研究了基于FPGA的MPSK调制电路的实现方法,并给出了仿真结果。

2 MPSK 调制解调的原理2.1 MPSK 调制原理多进制数字相位调制也称多元调相或多相制。

它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。

如果载波有2^k 个相位,它可以代表 k 位二进制码元的不同码组。

多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控。

在MPSK 信号中,载波相位可取M 个可能值,因此,MPSK 信号可表示为假定载波频率是基带数字信号速率的整数倍,则上式可改写为:()()cos()cos cos ()sin sin ()MPSK b c n c n b c n b n n n s t g t nT w t w t g t nT w t g t nT ϕϕϕ=-+=---∑∑∑上式中,g(t)是高度为1,宽度为Tb 的门函数,Tb 为M 进制码元的持续时间,亦即k (k =2log M )比特二进制码元的持续时间,n ϕ为第n 个码元对应的相位,共有M 种不同取值,令:()cos ()n b n I t g t nT ϕ=-∑,()sin ()n b n Q t g t nT ϕ=-∑这样可得: ()()cos ()sin MPSK c c s t I t w t Q t w t =-。

上式表明,MPSK 信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。

因此其带宽与MASK 信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。

下面以四相相位调制为例进行讨论。

四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。

所以,对于输入的二进制序列,首先必须分组,每两位码元一组。

然后根据组合情况,用载波的四种相位表征它们。

这种由两个码元构成一种状态的符号码元称为双比特码元。

同理,k位二进制码构成一种状态符号的码元则称为k比特码元。

2.2 4PSK信号产生四相PSK(4PSK)信号实际是两路正交双边带信号。

串行输入的二进制码,两位分成一组。

若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。

再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4PSK信号。

显然,此系统产生的是π/4系统PSK信号。

如果产生π/2系统的PSK信号,只需把载波移相π/4后再加到乘法器上即可。

图1系统4PSK信号的产生原理框图2.3 4PSK信号的解调原理因为 4 PSK信号是两个正交的2 PSK信号的合成,所以可仿照 2 PSK信号的相干解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4 PSK信号的解调。

此法是一种正交相干解调法,又称极性比较法,原理图在如下图2 系统4PSK信号解调原理框图为了分析方便,可不考虑噪声的影响。

这样,加到接收机上的信号在符号持续内可时间表示两路乘法器的输出分别为LPF输出分别是根据π/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表在下页。

当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。

解调出的A和B再经并/串变换,就可还原出原调制信号。

若解调π/2移相系统的PSK信号,需改变移相网络及判决准则。

表1 π/4 系统判决器判决准则3 MPSK 调制电路VHDL 程序及仿真3.1 FPGA 中MPSK 的实现图3 MPSK 调制方框图注:电路符号图中没有包含模拟电路部分,输出信号为数字信号。

基带信号通过串/并转换器xx 得到2位并行信号yy ;四选一开关根据yy 的数据,选择载波对应的相位进行输出,即得调制信号y 。

--文件名:MPSK--功能:基于VHDL 硬件描述语言,对基带信号进行MPSK 调制--说明:调制信号说明如下表所示。

FPGAclk start 基带信号 分频 0° 90° 180° 270°串并转换 四选一开关 调制信号表2 调制信号与相位对应表3.2 VHDL程序设计方法library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MPSK isport(clk :in std_logic; --系统时钟start :in std_logic; --开始调制信号x :in std_logic; --基带信号y :out std_logic); --调制信号end MPSK;architecture behav of MPSK issignal q:integer range 0 to 7; --计数器signal xx:std_logic_vector(1 downto 0);--中间寄存器signal yy:std_logic_vector(1 downto 0);--2位并行码寄存器signal f:std_logic_vector(3 downto 0); --载波fbeginprocess(clk)--通过对clk分频,得到4种相位;并完成基带信号的串并转换beginif clk'event and clk='1' thenif start='0' then q<=0;elsif q=0 then q<=1;f(3)<='1'; f(1)<='0'; xx(1)<=x;yy<=xx;elsif q=2 then q<=3;f(2)<='0'; f(0)<='1';elsif q=4 then q<=5;f(3)<='0'; f(1)<='1'; xx(0)<=x;elsif q=6 then q<=7;f(2)<='1'; f(0)<='0';else q<=q+1;end if;end if;end process;y<=f(0) when yy="11" elsef(1) when yy="10" elsef(2) when yy="01" elsef(3); --根据yy寄存器数据,输出对应的载波end behav;3.4仿真结果及分析图4 MPSK调制VHDL程序仿真全图图5 MPSK调制VHDL程序仿真局部放大图1图6 MPSK调制VHDL程序仿真局部放大图2从仿真结果我们可以看出MPSK数字调制的输出与输入相比存在明显的延迟,4PSK中每两位二进制码元组成一个四进制码元,对应一个相应的输出相位。

相关文档
最新文档