EDA课程设计参考题目

合集下载

EDA课程设计选题

EDA课程设计选题

EDA课程设计选题1.2FSK信号发生器:目的:进一步熟悉maxplus II软件的使用,掌握EDA技术及CPLD/FPGA的开发流程,掌握自顶向下的设计思想,掌握DDS原理和2FSK的原理;要求:(1)理解2FSK的工作原理,基于DDS设计2FSK调制信号,能用maxplus II软件仿真;(2)能把设计文件下载到实验箱,能用示波器观察波形;2.2PSK信号发生器:目的:进一步熟悉maxplus II软件的使用,掌握EDA技术及CPLD/FPGA的开发流程,掌握自顶向下的设计思想,掌握DDS原理和2pSK的原理;要求:(1)理解2FSK的工作原理,基于DDS设计2FSK调制信号,能用maxplus II软件仿真;(2)能把设计文件下载到实验箱,能用示波器观察波形;3.电梯控制器设计目的:掌握EDA技术及CPLD/FPGA的开发流程,掌握自顶向下的设计思想,掌握DDS原理和2PSK的原理;要求:(1)设计一个三层楼房自动电梯控制器,用八个LED显示电梯行进过程,并有数码管显示电梯当前所在楼层位置;(2)每层电梯入口处设有请求按钮开关,请求按钮按下则相应楼层的LED 亮;(3)能把设计文件下载到实验箱正确实现功能。

4.多功能电子钟:目的:进一步熟悉maxplus II软件的使用,掌握EDA技术及CPLD/FPGA的开发流程,掌握自顶向下的设计思想,掌握实用电子钟的设计原理;要求:(1)用HDL设计一个多功能数字钟,包含以下主要功能:精确计时,时间可以24小时制或12小时制显示;(2)日历:显示年月日星期;(3)能把设计文件下载到实验箱正确实现功能5.任意波形发生器:目的:(1)进一步熟悉maxplus II软件的使用,掌握EDA技术及CPLD/FPGA的开发流程,掌握自顶向下的设计思想,掌握DAC的工作原理,并利用EDA技术产生任意波形;要求:(1)DAC的工作原理,可以产生正弦波、方波、三角波、锯齿波等波形;(2)能把设计文件下载到实验箱,并用示波器观察波形。

EDA课程设计题目

EDA课程设计题目

电子081班课程设计题目1. LED显示控制系统中的控制器设计2. 基于FPGA的十字路口交通灯控制器3. 基于FPGA的八路竞赛抢答器4. 基于VHDL的乐曲演奏电路5. 基于VHDL的键盘扫描电路分组名单见下表全勤“√”迟到“×”请假“⊙”早退“*”LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ToneTaba ISPORT(Index:IN STD_LOGIC_VECTOR(3 DOWNTO 0);CODE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);HIGH:OUT STD_LOGIC;Tone:OUT STD_LOGIC_VECTOR(10 DOWNTO 0));END;ARCHITECTURE one OF ToneTaba ISBEGINSearch:PROCESS(Index)BEGINCASE Index ISWHEN"0000"=>Tone<="11111111111";CODE<="0000";HIGH<='0'; WHEN"0001"=>Tone<="01100000101";CODE<="0001";HIGH<='0'; WHEN"0010"=>Tone<="01110010000";CODE<="0010";HIGH<='0';WHEN"0011"=>Tone<="10000001100";CODE<="0011";HIGH<='0';WHEN"0101"=>Tone<="10010101101";CODE<="0101";HIGH<='0';WHEN"0110"=>Tone<="10100001010";CODE<="0110";HIGH<='0';WHEN"0111"=>Tone<="10101011100";CODE<="0111";HIGH<='0';WHEN"1000"=>Tone<="10110000010";CODE<="0001";HIGH<='1';WHEN"1001"=>Tone<="10111001000";CODE<="0010";HIGH<='1';WHEN"1010"=>Tone<="11000000110";CODE<="0011";HIGH<='1';WHEN"1100"=>Tone<="11001010110";CODE<="0101";HIGH<='1';WHEN"1101"=>Tone<="11010000100";CODE<="0110";HIGH<='1';WHEN"1111"=>Tone<="11011000000";CODE<="0001";HIGH<='1';WHEN OTHERS => NULL;END CASE;END PROCESS;END;1引言EDA是英文"Electronics Design Automation(电子设计自动化)"的缩写。

EDA课程设计_7

EDA课程设计_7

乒乓球游戏电路设计一、乒乓球设计方案(1)我们设计的乒乓球游戏是以一排发光二极管交替发光指示乒乓球的行进路径, 其行进的速度可由输入的时钟信号控制。

乒乓球比赛是甲乙双方的比赛, 所以用两个按键模拟左右两个球拍;我们还要设计一个乒乓球控制模块, 即当发光二极管到最后一个的瞬间, 若检测到对应的表示球拍的键的信号, 立即使“球”反向运行, 如果此瞬间没有接到按键信号, 将给出失败鸣叫, 同时为对方记1分, 并将记分显示出来, 然后由对方重新发球以此类推;还要设计失球计数器的高低位计数模块;乒乓球行进方向控制模块, 主要由发球键控制;还要有一个失球提示发生模块。

(2)要知道乒乓球的游戏规则, 以多少分为一局, 谁先得到一定的分数谁就赢一局, 以此来判断输赢。

(3)用数码管显示双方的得分, 还要设计一个十进制计数器, 来显示分数。

(4)在乒乓球设计方案时还要考虑球速的问题。

二、乒乓球游戏电路的设计程序1.LIBRARY IEEE;--乒乓球游戏顶层文件use ieee.std_logic_1164.all;entity TENNIS isport(bain,bbin,clr,clk,souclk:in std_logic;ballout:out std_logic_vector(7 downto 0);countah,countal,countbh,countbl:out std_logic_vector(3 downto 0);lamp,speaker:out std_logic);end entity TENNIS;architecture ful of TENNIS iscomponent soundport(clk,sig,en:in std_logic;sout:out std_logic);end component;component ballctrlport(clr,bain,bbin,serclka,serclkb,clk:in std_logic; bdout,serve,serclk,ballclr,ballen:out std_logic);end component;component ballport(clk,clr,way,en:in std_logic;ballout:out std_logic_vector(7 downto 0));end component;component boardport(ball,net,bclk,serve:in std_logic;couclk,serclk:out std_logic);end component;component cou10port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component cou4port(clk,clr:in std_logic;cout:out std_logic;qout:out std_logic_vector(3 downto 0));end component;component mwayport(servea,serveb:in std_logic;way:out std_logic);end component;signalnet,couclkah,couclkal,couclkbh,couclkbl,cah,cbh:std_logic; signalserve,serclka,serclkb,serclk,ballclr,bdout,way,ballen:std_lo gic;signal bbll:std_logic_vector(7 downto 0);beginnet<=bbll(4);ballout<=bbll;lamp<=clk;uah:cou4 port map(couclkah,clr,cah,countah);ual:cou10 port map(couclkal,clr,couclkah,countal);ubh:cou4 port map(couclkbh,clr,cbh,countbh);ubl:cou10 port map(couclkbl,clr,couclkbh,countbl); ubda:board port map(bbll(0),net,bain,serve,couclkal,serclka);ubdb:board port map(bbll(7),net,bbin,serve,couclkbl,serclkb);ucpu:ballctrlportmap(clr,bain,bbin,serclka,serclkb,clk,bdout,serve,serclk, ballclr,ballen);uway:mway port map(serclka,serclkb,way);uball:ball port map(clk,ballclr,way,ballen,bbll);usound:sound port map(souclk,ballen,bdout,speaker);end ful;2.library ieee;use ieee.std_logic_1164.all;entity sound isport(clk:in std_logic; --发声时钟sig:in std_logic; --正确接球信号en:in std_logic; --球拍接球脉冲sout:out std_logic); --提示声输出, 接小喇叭end entity sound;architecture ful of sound isbeginsout<=clk and (not sig) and en;--球拍接球, 没接到时, 发提示声end ful;3.library ieee; --总控制模块use ieee.std_logic_1164.all;entity ballctrlisport(clr:in std_logic; --系统复位bain:in std_logic; --左球拍bbin:in std_logic; --右球拍seclka:in std_logic; --左拍准确接球或发球serclkb:in std_logic; --右拍准确接球或发球clk:in std_logic; --乒乓球灯移动时钟bdout:out std_logic; --球拍接球脉冲serve:out std_logic; --发球状态信号serclk:out std_logic; --球拍正确接球信号ballclr:out std_logic; --乒乓球灯清零信号ballen:out std_logic); --乒乓球灯使能end entity ballctrl;architecture ful of ballctrl issignal bd:std_logic;signal ser:std_logic;beginbd<=bain or bbin;ser<=serclka or serclkb;serclk<=ser;--球拍正确接球信号bdout<=bd; --球拍接球脉冲process(clr,clk,bd)beginif(clr='1')then --系统复位serve<='1'; --系统处在职发球状态ballclr<='1'; --乒乓球灯清零elseif(bd='1')then --系统正常--球拍发球或接球时ballclr<='1'; --乒乓球灯清零if(ser='1')then --球拍发球或准确接球ballen<='1'; --乒乓球灯使能允许serve<='0'; --系统处在接球状态else ballen<='0';serve<='1'; --系统处在发球状态end if;else ballclr<='0';--没发球或接球时乒乓球灯不清零end if;end if;end process;end ful;4.--乒乓球灯模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ball isport(clk:in std_logic; --乒乓球灯前进时钟clr:in std_logic; --乒乓球灯清零way:in std_logic; --乒乓球灯前进方向en:in std_logic; --乒乓球灯使能ballout:out std_logic_vector(7 downto 0));--乒乓球灯end entity ball;architecture ful of ball issignal lamp:std_logic_vector(9 downto 0);beginprocess(clk,clr,en)beginif(clr='1') then lamp<="1000000001";--清零elsif en='0' thenelsif (clk'event and clk='1')then--使能允许, 乒乓球灯前进时钟上升沿if(way='1') then lamp(9 downto 1)<=lamp(8 downto 0);lamp(0)<='0';--乒乓球灯右移else lamp(8 downto 0)<=lamp(9 downto 1); lamp(9)<='0';--乒乓球灯左移end if;end if;ballout<=lamp(8 downto 1);end process;end ful;5.--乒乓拍模块library ieee;use ieee.std_logic_1164.all;entity board isport(ball:in std_logic; --接球点, 也就是乒乓球灯的末端net:in std_logic; --乒乓球灯的中点, 乒乓球过中点时, counclk、serclk复位bclk:in std_logic; --球拍接球信号serve:in std_logic; --发球信号couclk:out std_logic;serclk:out std_logic);end entity board;architecture ful of board isbeginprocess(bclk,net)beginif(net='1')then serclk<='0';couclk<='0';--乒乓球过中点时, counclk、serclk复位elsif(bclk'event and bclk='1')then—球拍接球时if(serve='1')then serclk<='1';--系统处于发球状态时—发球成功else—系统处于接球状态if(ball='1')then serclk<='1';--乒乓球刚落在接球点上, 接球成功else serclk<='0';couclk<='1';end if;end if;end if;end process;end ful;6.--十进制计数器用来做失球低位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;7、--四进制计数器用来做失球高位计数library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;serveb:in std_logic;way:out std_logic);end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';elsif(serveb='1')then way<='0';end if;end process;end ful;8、--乒乓球前进方向产生模块library ieee;use ieee.std_logic_1164.all;entity mway isport(servea:in std_logic;--左选手发球信号serveb:in std_logic;--右选手发球信号way:out std_logic);--乒乓球灯前进方向信号end entity mway;architecture ful of mway isbeginprocess(servea,serveb)beginif(servea='1')then way<='1';--左选手发球方向向右elsif(serveb='1')then way<='0';--右选手发球方向向左end if;end process;end ful;三、乒乓球游戏程序的仿真波形仿真波形图四、硬件测试方法然后我们就要测试硬件, 下载文件。

EDA课程设计题目

EDA课程设计题目

EDA课程设计题目
题目一:正弦信号发生器设计,要求:
1、与D/A转换电路配合,产生一路频率范围为1KHz~20KHz的正弦信号,输出
频率、幅度可调;
2、频率、幅度的设定通过按键增减来实现,频率调整步长为1KHz,幅度调整步
长为500mV。

题目二:乐曲硬件演奏电路设计,要求:
1、FPGA配合按键、蜂鸣器演奏一段简单音乐;
2、当前演奏音调对应的阿拉伯数字用一位数码管显示;
3、用LED灯亮的个数指示当前演奏音调。

题目三:计时电路设计,要求:
1、具有小时、分钟、秒计时功能,并在数码管上实时显示,显示模式为12小时制和24小时制可选
2、可以对时钟进行设置
3、具有设置闹钟使能、设置功能,并能进行闹钟提醒。

题目四:串口通信电路设计
要求:
(1)设计一个全双工串口收发控制程序,速率为9600b/s,其中数据帧格式为:1位起始位+8位数据+1位奇偶校验位+1位停止位。

(2)通过连接两个实验箱,验证通信功能(如通过一个实验箱按键,另一个实验箱显示)。

题目五:数字频率计设计,要求:
1、所测频率的误差恒为百万分之一;
2、测频范围为0.1Hz~10MHz。

题目六:灯柱控制电路设计。

要求:
1、通过调节旋钮,在数码管上显示当前电压值
2、随着旋钮旋动时,LED 灯柱改变点亮的长度,蜂鸣器改变发出的音调。

EDA设计题目和内容

EDA设计题目和内容

EDA设计题目和内容1智力竞赛抢答器的设计设计一个4人参加的智力竞赛抢答计时器。

电路具有回答问题时间控制功能。

要求回答问题时间小于等于100秒(显示为0~99),时间显示采用倒计时方式,当达到限定时间时,发出声响以示警告;设置一个主持人“复位”按钮,主持人复位后,开始抢答,进入倒计时,当有某一参赛者首先按下抢答开关时,相应指示灯亮并伴有2~3 秒声响,此时抢答器不再接受其他输入信号,使除第一抢答者外的按钮不起作用,倒计时也相应停止,主持人复位后,重新开始抢答。

其他要求:(1)数码管显示抢答得胜的选手号;(2)设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。

2 数字电子钟的设计具有时,分,秒计数显示功能,以24小时循环计时;设置启动、停止开关,具有启动和停止计时操作的功能;显示格式为××-××-××,用8位数码管显示。

其他要求:(1)具有清零,调节小时、分钟、秒功能;(2)具有整点报时功能,整点报时的同时LED灯花样显示。

(3)具有闹钟功能,可任意设置时间点。

3 拔河游戏机的设计设计要求如下:(1)设计一个能进行拔河游戏的电路。

(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。

(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

(5)用数码管显示双方按键的次数。

4 数字频率计的设计设计一个8位十进制数字频率计,待测信号由50MHz时钟分频得到或外部输入,测频范围为1Hz~50MHz,频率通过8位数码管显示。

其他要求:(1)测频范围扩大到1Hz~100MHz。

(2)用1602液晶显示待测信号频率。

5 乒乓球比赛游戏机(1)设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

EDA课程设计题目

EDA课程设计题目

1.数控分频器的设计2.8位16进制频率计设计3.数据采集电路和简易存储示波器设计利用FPGA直接控制0809对模拟信号进行采样,然后将转换好的8位二进制数据迅速存储到存储器中,在完成对模拟信号一个或数个周期的采样后,由外部电路系统(如单片机)将存储器中的采样数据读出处理。

4.8位移位相加硬件乘法器设计5.16阶数字滤波器的设计6.基于DSP Builder的FIR数字滤波器设计7.基于DSP Builder的IIR数字滤波器设计实验8. 基于FPGA的十字路口交通灯控制器9. 通用十进制加法器(动态扫描显示)设计一个双4 位的BCD码全加器,其框图如图1所示。

图中的“进位出”C即是本位的进位输出。

全加器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被加数)A1(3..0)、(被加数)B1(3..0)和(被加数)A2(3..0)、(被加数)B2(3..0)。

相加的十进制结果经译码电路译为7段显示输出,和与进位的值。

要求显示器动态显示两位十进制相加的结果。

10、通用十进制减法器(动态扫描显示)设计一个双4 位的BCD码全减器,其框图如图2所示。

图中的“借位出”C即是本位的借位输出。

全减器的16个输入所对应的管脚同16位拨码开关相连,16个输入管脚是(被减数)A1(3..0)、(被减数)B1(3..0)和(减数)A2(3..0)、(减数)B2(3..0)。

相减的十进制差经译码电路译为7段显示输出,差与借位的值。

要求显示器动态显示两位十进制相减的结果。

11、六人抢答器抢答台数为6;具有抢答开始后20秒倒计时,20秒倒计时后六人抢答显示超时,并报警;能显示超前抢答台号并显示犯规报警;系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

12、出租车计费器实现计费功能,计费标准为武汉起步3元,车行3公里后为1.4元/公里,当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。

(成都大学)EDA课程设计题目及解决方案(抢答器)

(成都大学)EDA课程设计题目及解决方案(抢答器)

EDA课程设计设计题目:智力竞赛抢答器一.电路功能:可满足8个组,同时参加竞赛。

抢答器复位后,数码显示为0,在竞赛主持人出完题并示意抢答开始后,每个组都可以通过各自的按钮开关发出抢答信号,抢答器一旦接收到某组最先发出的信号后,立即让数码管显出该组的组号,同时发出音响提示,且对后来组发出的抢答信号一律不与理睬。

主持人用复位钮复位抢答器,数码显示归0,提示音停止,在抢答组回答完问题后,重复前述过程,可进行下一题抢答。

二.原理框图(见附图)三.设计要求用VHDL语言描述抢答器逻辑功能,经编译后仿真,仿真波形正确后,加上段码译码器编译通过后方可在实验箱上下载,做真实电路验证。

四. 撰写设计报告给出设计方案框图,包括模块的划分,信息的传递关系;给出各模块的VHDL程序;给出每个模块的仿真波形图,并附以文字说明;写出设计的心得体会和收获。

一.结构描述法1(先锁存后编码)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY scq_8 ISPORT (g: IN STD_LOGIC_VECTOR (8 DOWNTO 1); rst, en: IN STD_LOGIC;q: OUT STD_LOGIC_VECTOR (8 DOWNTO 1)); END scq_8;ARCHITECTURE one OF scq_8 ISBEGINPROCESS ( rst, en)BEGINIF rst='0' THENq<= "11111111";ELSIF en ='1' THENq<=g;END IF;END PROCESS;END one;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY bm8_4 ISPORT(d: IN STD_LOGIC_VECTOR(8 DOWNTO 1); sum: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END bm8_4;ARCHITECTURE one OF bm8_4 ISBEGINPROCESS(d)BEGINCASE d ISWHEN "11111110" => sum<="0001";WHEN "11111101" => sum<="0010";WHEN "11111011" => sum<="0011";WHEN "11110111" => sum<="0100";WHEN "11101111" => sum<="0101";WHEN "11011111" => sum<="0110";WHEN "10111111" => sum<="0111";WHEN "01111111" => sum<="1000";WHEN OTHERS => sum<="0000";END CASE;END PROCESS;END one;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DECL7S ISPORT(A: IN STD_LOGIC_VECTOR(3 DOWNTO 0);LED7S: OUT STD_LOGIC_VECTOR(6 DOWNTO 0)); END;ARCHITECTURE one OF DECL7S ISBEGINPROCESS(A)BEGINCASE A ISWHEN "0000" => LED7S <= "0111111";WHEN "0001" => LED7S <= "0000110";WHEN "0010" => LED7S <= "1011011";WHEN "0011" => LED7S <= "1001111";WHEN "0100" => LED7S <= "1100110";WHEN "0101" => LED7S <= "1101101";WHEN "0110" => LED7S <= "1111101";WHEN "0111" => LED7S <= "0000111";WHEN "1000" => LED7S <= "1111111";WHEN "1001" => LED7S <= "1101111";WHEN "1010" => LED7S <= "1110111";WHEN "1011" => LED7S <= "1111100";WHEN "1100" => LED7S <= "0111001";WHEN "1101" => LED7S <= "1011110";WHEN "1110" => LED7S <= "1111001";WHEN "1111" => LED7S <= "1110001";WHEN OTHERS => NULL;END CASE;END PROCESS;END;四.状态机描述法LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY qdq8_1 ISPORT(rst,clk: STD_LOGIC;g: IN STD_LOGIC_VECTOR(8 DOWNTO 1);q: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);sq: OUT STD_LOGIC );END qdq8_1;ARCHITECTURE one OF qdq8_1 ISTYPE s IS (s0,s1);SIGNAL crt_s: s;SIGNAL sum: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(rst,clk,g)BEGINIF rst='0' THEN crt_s<=s0;ELSIF clk'event AND clk='1' THENCASE crt_s ISWHEN s0 => q<="0000";sq<='0';CASE g ISWHEN "11111110" => sum<="0001";crt_s<=s1;WHEN "11111101" => sum<="0010";crt_s<=s1;WHEN "11111011" => sum<="0011";crt_s<=s1;WHEN "11110111" => sum<="0100";crt_s<=s1; WHEN "11101111" => sum<="0101";crt_s<=s1; WHEN "11011111" => sum<="0110";crt_s<=s1; WHEN "10111111" => sum<="0111";crt_s<=s1; WHEN "01111111" => sum<="1000";crt_s<=s1; WHEN OTHERS => crt_s<=s0;END CASE;WHEN s1 => q<=sum; sq<='1';crt_s<=s1;END CASE;END IF;END PROCESS;END one;。

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)

EDA课程设计题目_图文.(共5篇)第一篇:EDA课程设计题目_图文.课程设计题目设计一数字式竞赛抢答器1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。

5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。

教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二数字钟1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、熟练掌握各种计数器的使用。

3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、能用低位的进位输出构成高位的计数脉冲。

教学提示:1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三数字频率计1、设计一个能测量方波信号的频率的频率计。

2、测量的频率范围是0?999999Hz。

3、结果用十进制数显示。

教学提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。

所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

EDA课程设计参考题目

EDA课程设计参考题目

附录III EDA课程设计参考题目一、数码管显示控制器要求:1.能自动依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;2.打开电源自动复位,从自然数列开始显示。

二、乒乓球游戏机要求:1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;3.甲、乙各有一数码管计分;4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

三、智力竞赛抢答器要求:1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

四、双钮电子锁要求:1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);2.若按B钮,则门铃响;(滴、嗒…);3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;5.用一个开关表示关门(即闭锁)。

五、数字钟要求:1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)2.能显示时、分、秒,24小时制;4.时和分有校正功能;注意:硬件资源的节约,否则器件内资源会枯竭。

六、交通灯控制器要求:1.东西方向为主干道,南北方向为副干道;2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;4.换向时要有4秒的黄灯期;5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

eda课程设计30个题目

eda课程设计30个题目

eda课程设计30个题目1数字频率计1)设计一个能测量方波信号的频率的频率计。

2)测量的频率范围是0 Hz。

3)结果用十进制数显示。

2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。

4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。

2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。

《EDA技术》课程设计题目

《EDA技术》课程设计题目

《EDA技术》课程设计题目1 数字钟设计 (1)2 数字式竞赛抢答器设计 (1)3 数字频率计设计 (1)4 拔河游戏机设计 (2)5 洗衣机控制器设计 (3)6 电子密码锁设计 (3)7 脉冲按键电话按键显示器设计 (4)8 乘法器设计 (4)9 简易音乐播放器设计 (5)10 交通灯控制器设计 (5)11 出租车自动计费器设计 (6)12 自动售邮票机设计 (6)13 信号发生器系统设计 (7)14 点阵设计 (7)15 汽车尾灯控制器设计 (7)16 乒乓球比赛游戏机设计 (8)17 电梯控制器设计 (8)18 简单微处理器设计 (9)19 电子脉搏计设计 (9)20 数字电压表设计 (9)21 彩灯控制器设计 (9)22 病房呼叫系统设计 (10)23 二进制频移键控(FSK)调制器与解调器设计 (10)24 二进制相位键控(PSK)调制器与解调器设计 (10)12电信1班《EDA技术》课程设计选题名单1 数字钟设计设计要求在Quartus Ⅱ开发系统中用可编程逻辑器件实现数字钟的EDA设计,要求:(1)数字钟功能:数字钟的时间以24小时一个周期;数字钟能够显示时、分、秒;(2)校时功能:可以分别对时、分、秒进行单独校时,使其调整到标准时间;(3)扩展功能:具有整点报时功能,当时间到达整点前5秒进行蜂鸣报时。

2 数字式竞赛抢答器设计设计要求设计一个可容纳四组参赛的数字式抢答器,每组设一个按钮供抢答使用。

抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设置一个主持人“复位”按钮,主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,用指示灯显示抢答组别,扬声器发出音响。

设置犯规电路,对提前抢答和超时答题(例如3分钟)的组别鸣笛示警,并由组别显示电路显示出犯规组别。

设置一个计分电路,每组开始预置10分,由主持人计分,答对一次加1分,答错一次减1分。

系统框图如图2-1所示。

EDA设计试题

EDA设计试题

a) Nop-flipped and separated rowsb)Flipped and abutted rowsc) Flipped and separated rowsd) Non-flipped and abutted rows22,Refer to layout A & B,which of the following is true:A:W/L=2/ B:W/L=4/a) B has the same area as A and double the drive.b) B has double the area of A and half the drive.c) B has the same area as A and the same drive.d) B has 4X the area as A and the same drive.e) B has 4X the drive as A and the same area.23,which is correcta)Slack=Data arrival time -Data Required timeb) Slack=Data Required time -Data arrival timec) Slack=Clock Arrival time -Data arrival timed) Slack=Clock Required time -Data Required time24,In the following figure, what is the total number of possible data arrival times for setupa) 1b)2c) 3d) 425,Which will always result in the worst slack for setup timea) The longest data arrival time.b) The shortest data arrival time.c)It is unclear as the slack is a function of data arrival time as well as data required time.26,n-device is faster than p-device because Reduce Areaa) Hole mobility is greater than electron mobility.b)Electron mobility is greater than hole mobility.c) The P threshold is greater than N threshold.d) The N threshold is greater than P threshold.e) None of the above.27,For a 25 MHz processor, what is the time taken by the instruction which needs 3 clock cyclea) 120 nano secsb) 120 micro secsc) 75 nano secsd)75 micro secs28,Which one is correct statementa) RTL models can be simulated only on cycle based simulatorb)Event driven simulators can simulate both synchronous and asynchronous designsc) Multi clock designs can not be simulated with Event driven simulatorsd) b and c29,Formal analysis means:a) Verifying designs with assertions using simulatorsb)Verifying designs only with assertions staticallyc) Analyzing functional coverage generated from the assertion IPsd) a and c30,Which language supports object oriented programming and good for RTL verificationa) C++b) Verilog with VPIc)System Verilogd) b and cis the difference between logic and bit types (1%)a) logic is 2 state, bit is 4 stateb) There is no differencec) logic is 4 state, bit is 2 stated) none of the abovedo you specify signal direction in a SystemVerilog interface (1%)a) through clocking blocksb) Through cross module referencec) Through modportsd) None of the abovedo I create a variable shared by all objects of a class, but not make a global (1%)a) declare it as automaticb) declare it in the top scopec) declare it as sharedd) declare it as staticdo I share code between classes (1%)a) Instantiate a class within another classb) Inherit from one class to another (inheritance/derivation)c) all of the aboved) None of the aboveis the final value of and in this code (1%)class Thing;int data;endclassThing t1, t2;initial begint1 = new();= 1;= 2;t2 = t1;= 5;enda) = 5, = 5b) t2 gets used before new() is called. Null object access!c) = 5, =2;d) None of the above36. Write a constraint for the variable Q that picks a value of 0, for 75% of the time and 1~3 for the rest (1%)a)constraint c {Q dist {0 := 75, [1:3] := 25} ; }b) constraint c { Q < 4; Q >= 0; }c) constraint c { Q < 4; Q >= 0; Q%2 dist {0 := 75, 1:= 25}; }d) constraint c { Q < 4; Q >= 0; Q%3 dist {0 := 25, 1:= 25, 2:=25, 3:= 75 }; }37. What are the possible values that x and y will take when the following class is randomized (1%)class E;rand bit [15:0] x[10], y[10];constraint size_cons {foreach (x[i]){x[i] > 0; x[i] < y[i];foreach (y[i])y[i] inside {[1:9]};}}endclassa} x in [0,9], y in [0,9]b) x in [1,9], y in [1,9]c) x in [1,9], y in [2,10]d) x in [1,8], y in [2,9]38. What is the final value of and in this code %)class Thing;int data;endclassThing t1, t2;initial begint1 = new();= 1;= 2;t2 = t1;= 5;enda) = 5, = 5b) t2 gets used before new() is called.Null object access!c) = 5, =2;d) None of the above39. The new intern Smart Assert can not write simple assertions. He wrote:( a ##1 (!b[*0:$] ##1 b)[*3:5] ## 1C )While the assertion works correctly, can you help him write it in a simplified form Please choose one correct answer from A), B), C) and D): %)A)property abc_sequence_with_!b_random_space_between;@(posedge clk) $rose(a)##1 b|-> b[*3:5] ##1c;endproperty: abc_sequence_with_!b_random_space_between;B)property abc_sequence_with_!b_random_space_between;@(posedge clk) a##1 b[=3:5] ##1 c;endproperty: abc_sequence_with_!b_random_space_between;C)property abc_sequence_with_!b_random_space_between;@(posedge clk) a##1 !b[*0:$] ##1 b[*3:5] ##1 c;endproperty: abc_sequence_with_!b_random_space_between;D)property abc_sequence_with_!b_random_space_between;@(posedge clk) a##1 b[->3:5] ##1 c;endproperty: acb_sequence_with_!b_random_space_between;40.Consider a bus protocol that includes the property “a new bus cycle may not start for 2 clock cycles after an abort cycle occurs.” This property could be coded as below. Please choose one correct answer from A), B), C) and D). %)A)property wait_after_abort;@(posedge clk) wait(abort_cycle)##2 !cycle_start;endproperty: wait_after_abort ;B)property wait_after_abort;@(posedge clk) while (abort_cycle) ##2 Not(cycle_start) ;endproperty: wait_after_abortC)property wait_after_abort;@(posedge clk) abort_cycle |=> !cycle_start[*2]; endproperty: wait_after_abortD )property wait_after_abort;@(posedge clk) abort_cycle |-> Not(##2 cycle_start); endproperty: wait_after_abort41. 考虑以下两个逻辑表达式 f 和 g,Consider the following logic expressions for f and g :ƒx 1x 2x3xx 1x 2x 1x 3xx 1 x 3x 4x x 1x名,否则不给分数。

eda课程设计参考题目

eda课程设计参考题目

EDA课程设计参考题目一、LED点阵汉字显示要求:1、及格:在实验箱上16*16点阵模块上显示汉字“学”;2、中:设置不同的清屏方式(上下左右);3、良:滚动显示汉字“学”;4、优:滚动显示“石家庄经济学院”。

二、键盘扫描显示要求:1、及格:识别4*4键盘,并将结果显示在数码管上;2、中:设计防抖电路;3、良:按一定方向移动显示,即新的按键值显示在最右端的数码管上,原有显示依次左移;4、优:可以进行简单的加减运算。

三、电子密码锁要求:1、及格:设计一个四位密码锁的控制电路,当输入正确代码时,输出开锁信号,用红灯亮、绿灯灭表示关锁,用绿灯亮、红灯可以进行灭表示开锁;2、中:输入密码的同时显示输入的值;3、良:可设定密码;4、优:从第一个按钮触动后的10秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。

四、数字频率计要求:1、及格:设计一个能测量方波信号的频率的频率计,测量范围1~999999Hz,结果用四位十进制数显示;2、中:具有超量程显示和量程切换功能;3、良:具有高位无效零自动消隐功能;4、优:具有测量周期的功能。

五、交通信号灯控制器要求:1、及格:设计一个交通信号灯控制器,在十字路口每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

每次放行30秒;2、中:绿灯亮25秒后闪烁3秒,黄灯亮2秒,然后转红灯,红灯亮30秒后转绿灯,依次循环;3、良:30秒倒计时显示;4、优:可设定通行时间。

六、数字式竞赛抢答器要求:1、及格:设计一个6组参赛的数字式抢答器,每组设一个按钮,供抢答使用;抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用;设计一个主持人“复位”按钮;主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,同时扬声器发声;2、中:具有30秒倒计时功能功能,30秒结束无人抢答则报警;3、良:具有犯规设置电路对提前抢答和超时抢答者,则报警并显示组别;4、优:设置一个计分电路,每组开始设置100分,由主持人计分,答对一次加10分,答错一次减10分。

EDA课程设计题目

EDA课程设计题目

器费计动自车租出 一十计设
。到得频分经源冲脉由号信阶音各 、1 :示提学教 。果效音颤生产能* 、4 �拍节示显灯示指用 、3 �号信阶音个 41 或个 7 共……�3�2�1 生产源冲脉的箱验实用利 、2 �琴子电易简个一计设 、1
琴 子电 易 简 十 计 设
。位移的示显字数现实而从�数次键按录记器数计个一置设 、2 �字数的同不应对键按的同不现实以可能功数置予的器数计模规中用利 、1 :示提学教 。示显器示显灭熄�键按灭熄按或后秒 2 机挂* 、5 �码号话电的入输次一后最示显能�键此下按�键”拨重“个一置设* 、4 �位入输前当为位低最�字数键按示显位逐�移前位高向位低从示显器示显 、3 �字数键按映反地确准能 、2 �器示显键按话电的示显位 8 有具个一计设 、1
器制控机衣洗 二十计设
。果结示表管码数个两用�费计间时候等为 3P�费计程里车行为 2P�价起为 1P 、4 �3P+2P+1P=P�加相费收项几将器法加用 、3 。额金费收间时候等示表管码数个两�间时候等示显 DEL 用 、2 。个 005 为应数 冲脉的内钟分 01 则� �冲脉/元 10.0�同相费计程里车行与成置设量当冲脉将果如�冲脉 /元 50.0 为量当冲脉则�元 5.0 费收钟分 01 而�钟分 01 示表冲脉个 001 如例�数费计 得即乘相数冲脉与额金的示表冲脉个每用 �数个冲脉成换转间时候等将需费计间时候等 、1 :示提学教 。额金费收示显管码数个两�数里公驶行示显 DEL 用 、4 。冲脉/元 10.0 为量当冲脉则�里公/元 0.1 是价单如例。置 预关开由可数系例比�数系例比的价单里公每以乘冲脉程里将器 法乘例比码 DCB 用后然 �里公 1 示表冲脉个 001 每则 �米十进前车汽拟模冲脉个一以中验实 �额金费收成换转路电 码译数计由后然 �数冲脉的比正成之与成换转数程里的驶行车汽将路电费计的程里车行 、3 。入输工人过通能均 �价起里公 3�元 3 价起�钟分 01/元 5.0 价单间时候等�里公/元 1 价单程里车行 、2 �元 99.99 为值大最�额金总 示显管码数位 四用�分部三价起及、费计间时候等、费计程里车行有具�器费计动自车租出个一计设 、1

EDA课程设计题目.

EDA课程设计题目.
教学提示:
1、时钟源使用频率为0.1Hz的连续脉冲。
2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。
3、时钟显示使用数码管显示。
4、“时显示”部分应注意12点后显示1点。
5、注意各部分的关系,由低位到高位逐级设计、调试。
设计三数字频率计
1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0?999999Hz。
3、任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。
4、控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。
设计六交通信号灯控制器
1、设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、
3、当“球”(点亮的那只LED运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。
4、一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。
5、设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。
7、改变量程时,小数点能自动移位。
设计四拔河游戏机
1、设计一个能进行拔河游戏的电路。
2、电路使用15个(或9个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3、游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4、亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。

电信EDA课程设计题目

电信EDA课程设计题目

1. 基于FPGA的十字路口交通灯控制器1)红、黄、绿灯分别用1bit控制;2)每一个状态分配一个时间显示(两位十进制数,倒计时);3)符合实际交通规律;2. 基于FPGA的4路定时抢答器设计(倒计时)1)分别使用S0~S3表示4名选手;2)设置一个系统清除和抢答控制开关rst,该开关由主持人控制;3)抢答器具有锁存和显示功能,选手按下抢答按钮,所存相应的编号,并在LED和数码管上显示,同时指示灯亮。

实行优先锁存,该优先权一直保持到主持人将系统清零;4)抢答器具有定时抢答功能,且一次抢答时间由主持人设定,时间由30S~10S不定。

定时显示器显示主持人设定的时间。

数码管显示倒计时过程,以十进制显示;5)主持人提示开始抢答(按下按钮)前有人抢答的,视为抢答者违规,本次抢答无效,在数码管上显示违规者的编号,并出报警音提示;6)如果抢答时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答;3. 基于FPGA的键盘扫描电路1)键盘按钮数为4,系统时钟10MHz;2)能识别出所按按钮;3)按钮被按下后,视为此按钮输入一次,若按钮长时间不松,(时限1S)后每隔0.5S视为再次输入,直至按钮松开;4)要求能对按钮按下时指令的抖动能正确处理。

对持续时间小于50ms的输入不作响应;5)各键设置不同优先级,多键同时按下时,视为优先级较高的按键被按下;4.数字密码锁的电路设计设计一个电子密码锁。

按先后顺序,输入密码“312”时,扬声器发出声音,表示锁打开。

该密码锁应只有以下几种功能:1)具有密码输入功能;2)设置复位按键,以便重新输入新的密码;3)显示输入密码的次数;4)拒绝接收超过规定次数(3次)的密码输入信号。

5. 篮球24秒定时控制电路1)具有显示24S(24秒)倒计时功能,以十进制显示。

2)具有清零、启动、暂停、连续的功能。

3)24S递减;4)24S递减至零时,数码显示器不能灭灯,同时发出声光报警信号。

6. 彩灯控制器设计要求:设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。

EDA技术(课程设计题目)

EDA技术(课程设计题目)

设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

附录I EDA课程设计参考题目注:在以下设计中只可以用一个参考时钟一、设计数码管显示控制器要求:1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;2.打开电源自动复位,从自然数列开始显示。

二、设计乒乓球游戏机要求:1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;3.甲、乙各有一数码管计分;4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。

三、设计智力竞赛抢答器要求:1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。

四、设计数字钟要求:1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)2.能显示时、分、秒,24小时制;4.时和分有校正功能;5.整点报时,喇叭响两秒;6.可设定夜间某个时段不报时;注意:硬件资源的节约,否则器件内资源会枯竭。

五、设计交通灯控制器要求:1.东西方向为主干道,南北方向为副干道;2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;4.换向时要有4秒的黄灯期;5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。

6.附加:用数码管显示计时。

六、设计双钮电子锁要求:1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);2.若按B钮,则门铃响;(滴、嗒…);3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;5.用一个开关表示关门(即闭锁)。

七、设计彩灯控制器二要求:1.有十只LED,L0……L92.显示方式①先奇数灯依次灭②再偶数灯依次灭③再由L0到L9依次灭3.显示间隔0.5S,1S可调。

八、设计速度表要求:1.显示汽车Km/h数;2.车轮每转一圈,有一传感脉冲;每个脉冲代表1m的距离;3.采样周期设为10S;4.要求显示到小数点后边两位;5.用数码管显示;6,最高时速小于300Km/h。

九、设计电话卡计费器要求:1.设计一个模拟电话卡计费的计数器模型。

该计费器在电话卡插入后能将卡中币值读出并显示出来。

在通话过程中,根据通话种类计费并将话费从卡中扣除,卡值每分钟更新一次;2.话费种类:市话:0.3元/分长途0.6元/分特话免费;3.当卡中余额不足时产生警告,警告时间达到一定长度切断通话。

十、设计出租车计价器要求:1.5 Km起计价,起始价5元,每公里1.2元;2.传感器输出脉冲为0.5m/个;3.每0.5km改变一次显示,且提前显示(只显示钱数)。

十一、设计八音电子琴要求:1.能发出1、2、3、4、5、6、7、1八个音;2.用按键作为键盘;十二、自设计动奏乐器一要求:1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。

1 1 5 5 6 6 5 –4 4 3 3 2 2 1 –5 5 4 4 3 3 2 –5 5 4 4 3 3 2 –3.附加:显示乐谱。

十三、设计自动奏乐器二要求:1.开机能自动奏一个乐曲,可以反复演奏;2.速度可变。

1 3 1 3 5 6 5 – 6 6 ∙1 6 5 –––6 6 ∙1 6 5 5 3 12 23 2 1 –––3.附加:显示乐谱。

十四、设计自动打铃器要求:1.有数字钟功能;(不包括校时等功能)2.可设置六个时间,定时打铃;3.响铃5秒钟。

十五、设计数字频率计要求:1.输入为矩形脉冲,频率范围0~99MHz;2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;3.单位为Hz和KHz两档,自动切换。

十六、设计算术运算单元ALU的设计要求:1.进行两个四位二进制数的运算。

2.算术运算:A+B,A-B,A+1,A-13.逻辑运算:A and B,A or B,A not, A xor B注意:从整体考虑设计方案,优化资源的利用十七、设计游戏机,有三位数码管显示0—7之间的数码,按下按钮,三个数码管循环显示,抬起按钮,显示停止,当显示内容相同时,为赢要求:1.三个数码管循环显示的速度不同2.停止时的延迟时间也要不同3.如果赢了游戏时,要有数码管或LED的花样显示或声音提示。

十八、设计16路数显报警器要求:1.设计16路数显报警器,16路中某一路断开时(可用高低电平表示断开和接通),用十进制数显示该路编号,并发出声音信号;2.报警时间持续10秒钟;3.当多路报警时,要有优先级,并将低优先级的报警存储,处理完高优先级报警后,再处理之(附加)。

十九、设计电话按键显示器要求:1.设计一个具有4位显示的电话按键显示器,能准确反映按键数字;2.显示器显示从低位向高位前移,逐位显示,最低位为当前输入位;3.设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;4.摘下话机后才能拨号有效,挂机后熄灭显示。

二十、设计病房呼叫系统要求:1.用1~5个开关模拟5个病房的呼叫输入信号,1号优先级最高;1~5优先级依次降低;2.用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3.凡有呼叫发出5秒的呼叫声;4.对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理(附加)。

二十一、设计自动电子钟要求:1.用24小时制进行时间显示;2.能够显示小时、分钟;3.每秒钟要有秒闪烁指示;4.上电后从“00:00”开始显示。

二十二、设计具有数字显示的洗衣机时控电路要求:1.洗衣机工作时间可在1~15分钟内任意设定(整分钟数);2.规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;3.要求能显示洗衣机剩余工作时间,每当电机运行一分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转;4.电机正转和反转要有指示灯(发光二极管)指示。

二十三、设计篮球比赛数字计分牌要求:1.分别记录两队得分情况;2.进球得分加2分,罚球进球得分加1分;3.计时电路,24秒计时,用一个键表示球碰篮板,之后清零重新计时;用到六个数码管。

二十四、设计电子日历要求:1.能显示年、月、日,星期;2.例如:“01.11.08 6”,星期日显示“8”;3.年月日,星期可调;4.不考虑闰年。

二十五、设计用电器电源自动控制电路要求:1.控制电路能使用电器的电源自动开启30s,然后自动关闭30s,如此周而复始的工作,要有工作状态指示;2.当电源接通时,可随时采用手动方式切断电源;当电源切断时,可随时采用手动方式接通电源;3.若手动接通,可由定时信号断开,然后进入自动运行状态,反之亦然;4.定时范围0分~60分,要有分秒的倒计时显示。

二十六、设计模拟中央人民广播电台报时电路要求:1.计时器运行到59分49秒开始报时,每鸣1s就停叫1s,共鸣6响;前5响为低音,频率为750Hz(用分频器);最后1响为高音,频率为1KHz(用分频器);2.至少要有分秒显示。

二十七、设计数字跑表要求:1.具有暂停/启动功能;2.具有重新开始功能;3.用6个数码管分别显示百分秒、秒和分钟。

二十八、设计拔河游戏机1.拔河游戏机需用9个发光二极管排列成一行,开机后只有中间一个点亮,以此作为拔河的中心线,游戏双方各持一个按键,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。

移到任一方终端二极管点亮,这一方就得胜,此时双方按键均无作用,输出保持,只有经复位后才使亮点恢复到中心线;2.数码管显示胜者的盘数。

二十九、设计彩灯控制器三要求:1.8 个灯全亮;2.8 个灯全灭;3.从左边第一个开始每隔一个亮;4.从右边第一个开始每隔一个灭;5.左4个灭,右4个亮;6.左4个亮,右4个灭;三十、设计电子密码锁要求:1.实验任务根据设定好的密码,采用二个按键实现密码的输入功能;2.码输入正确之后,锁就打开,如果输入的三次的密码不正确,就锁定按键3秒钟,同时发出报警声,直到没有按键按下3秒后,才打开按键锁定功能;3.否则在3秒钟内仍有按键按下,就重新锁定按键3秒时间并报警。

三十一、设计倒计时器要求:1.显示1 位天,2 位时,2 位分;2.在0 分~9 天内,能任意设置倒计时长短;3.倒计时结束,能发出告警信号。

三十二、设计电梯控制器要求:1.利用四个位键作为用梯人的呼叫,上升或下降按键,第一个作为一楼呼叫按键,第二个作为二楼呼叫按键,第三个作为楼梯上升按键,第四个作为楼梯下降按键;2.4个键输入,用两个发光二极管表示上升和下降,再用两个数码管显示1和2层。

模拟电梯的工作过程,设计一个两层电梯控制器。

三十三、设计地铁售票系统要求:1.售票机有两个进币孔,一个是输入硬币,一个是输入纸币,硬币的识别范围是五角和一元的硬币,纸币的识别范围是一元、两元,五元,拾元;2.乘客可以连续多次投入钱币。

乘客可以选择的种类为2元和4元,乘客一次只能选择一个出站口;3.购买车票时,乘客先选择出站名,然后选择所需的票数,再进行投币,投入的钱币达到所需金额时,售票机自动出票,并找出余额,本次交易结束,等待下一次的交易;4.在投币期间,乘客可以按取消键取消本次操作,钱币自动退出。

三十四、设计微波炉控制器要求:1.微波炉控制器主要由四大模块来够成。

第一:时间控制模块要设计一个具有60分和60秒的倒计时控制器来控制。

第二:输入时间数据模块(置数部分)。

第三:操作和过程控制模块。

第四:动态显示的输出;2.电路里面要进行开关控制和复位控制及几种加热方式的档位控制以及档位灯光的显示控制。

用三个LED等来显示三档的加热方式,当工作的时候就亮着,停止工作的时候就熄灭。

开关控制主要控制脉冲的输入控制,就可以对加热的暂停和继续;3.为了减少控制电路的功率以至提高性能。

在显示时用动态显示电路来实现。

三十五、设计彩灯控制器一要求:1.有八只LED,L0……L72.显示顺序如下表3.显示间隔为0.25S,0.5S,1S,2S可调。

相关文档
最新文档