出租车计价器系统设计课件

合集下载

出租车计价器

出租车计价器

数字电子课程设计出租车计价器院系:专业:姓名:班级:学号:时间:指导老师:出租车计价器一、设计原理使用数字电路和模拟电路来完成设计要求。

显示器件有:LED显示,VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管来显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMOS系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。

而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。

使用单片机来完成设计要求。

单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以轻容易的实现。

避免了机械开关带来的不稳定因素。

经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。

本电路以C51单片机为中心,实现对出租车路程的统计,输出采用8段数码显示管。

(1)分析任务要求,写出系统整体设计思路通过分析,需要实现四个主要的功能模块,分别为脉冲计数模块、定时器计时模块、按键的处理以及数码管动态扫描等功能。

定时器计时模块主要完成途中等待(即没有脉冲来时)30秒的计时。

在启动键按下后,定时器就不停的计时,只要有脉冲来就将计时的值清除为零。

如果没有脉冲来,当计时超过30秒时,相应的总金额要按照收费标准计价。

中断的管理:尽管中断有嵌套以及优先级的功能,但是由于定时器已经使用一个了中断资源,脉冲检测不宜再采用中断方式,而是采用查询方式。

由于需要不停的要清除30秒的计时,因此,脉冲的计数不采用定时器的计数方式。

启动键触发定时器开始工作,而定时器的运行可以作为脉冲计数的标志,只要定时器计时在运行,每来一个中断都应该计数。

基于VHDL的出租车计价器系统设计ppt

基于VHDL的出租车计价器系统设计ppt

end if; end process p1; p2:process (temp0,clkin) begin if (reset='0') then temp1<=(others=>'0'); elsif rising_edge (clkin) then if (temp0>=licheng) then if (temp1=conv_std_logic_vector (4,4)) then temp1<=(others=>'0'); else temp1<=temp1+'1'; end if; end if; end if; end process p2; clkout<='1' when (temp1=conv_std_logic_vector( 4,4)) else '0'; length<="000000"& licheng when(temp0<=licheng) else temp0; end behave;
里程计价部分( 第一部分 里程计价部分(续)
•计数器B作用 •对输入的100m脉冲oclk进行累加 •在开始时输出起步里程数据,而当超出 起步里程时自动输出实际公里数据给译 码/动态扫描模块 •每计满500m路程送出1个脉冲clkout给 计数器C。
里程计价部分( 第一部分 里程计价部分(续) LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; ENTITY cnt_B is port ( reset,clkin: in std_logic; dip:in std_logic_vector (2 downto 0); length:out std_logic_vector(12 downto 0); clkout:out std_logic); end cnt_B; ARCHITECTURE behave of cnt_B is signal licheng: std_logic_vector(6 downto 0); signal temp0: std_logic_vector(12 downto 0); signal temp1:

基于单片机的出租车计价系统设计PPT

基于单片机的出租车计价系统设计PPT
设计简介
计价器是由计数器对里程的累计乘以单价, 加上起步价。设计中用霍尔传感器发出方波 信号,并用P3.4口把方波信号接受回来(计 数器计数)。用LCD分别显示公里数和当前 价钱。
主要使用的元器件
设计主要使用的元器件有: STC89C52单片机、霍尔传感器 、 MAX232及九针串口、LCD1062液晶显示屏 以及按键等器件。
VSS VDD VL RS
R/W
E
P0.0 8 D0
P0.1 P0.2
9 10
D1 D2
P0.3 11 D3
P0.4
P0.5 P0.6
12 13 14
D4 D5
D6
EA/VPP RD/P3.7 RW/P3.6
ALE/PRDG RSEN
31 17 16 30 29
P0.7 VCC GND
15 16
D7 BLA BLK
VCC
15
C B
VCC
R? R ES2
C1 03 1 0μ 1 00
1 0K
P33 1 3 P32 1 2
P35 1 5 P34 1 4
C1 01 3 0P
EA 3 1
19
1 1. 0 59 K Y2
18
3 0P
C1 02
RET 9
P37 1 7 P36 1 6
INT1 INT0
T1 T0
EA/VP
MAX232与单片机的接口电路图
LCD1602与单片机STC89C52硬件接 口电路图
P1.0 1
P1.1 P1.2
2 3
4
5
6
7
8
10
11 12
13
霍尔传感器信号发出

出租车计价器设计程序原理图实物图

出租车计价器设计程序原理图实物图

目录摘要 (Ⅰ)第1章引言 (1)1. 1 出租车计价器概述 (1)1. 2 本设计任务 (1)1.2.1 设计任务 (1)1.2.2 设计要求 (1)1. 3 系统主要功能 (2)第2章出租车计价器硬件设计 (3)2.1 系统的硬件构成及功能 (3)2. 2 AT89S51单片机及其引脚说明 (4)2.3 AT24C02引脚图及其引脚功能 (6)2. 4 AT24C02 掉电存储单元的设计 (6)2.5 里程计算、计价单元的设计 (7)2.6 数据显示单元设计 (8)第3章系统软件设计 (10)3. 1 系统主程序设计 (10)3. 2 定时中断程序设计 (12)3. 3 里程计数中断服务程序设计 (12)3.4 中途等待中断服务程序设计 (12)3. 5 键盘服务程序设计 (12)3. 6 显示子程序服务程序设计 (12)第4章系统调试与测试结果分析 (13)4. 1 使用的仪器仪表 (13)4.2 系统调试 (13)第1章引言本次课程设计利用单片机技术来实现一台多功能出租车计价器,具有性能可靠、电路简单、成本低等特点。

1.1 出租车计价器概述计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算)。

出租车计价器通过传感器与行驶车辆连接。

出租汽车的实际里程通过传感器的脉冲信号在计价器里折算成一定的计价营运里程。

目前市场上出租车计价器功能主要有具有数据的复位功能、白天/晚上转换功能、数据输出功能、计时计价功能等等,但能够进行语音播报数据信息的出租车计价器还是比较少见的,针对这一点我们来设计一款多功能出租车计价器,在原有功能的基础上增加单价输出、单价调整、路程输出、显示当前的系统时间、语音播报数据信息等功能。

1.2 本设计任务1.2.1 设计任务设计一款基于AT89S51单片机的出租车计价器。

1.2.2 设计要求1.基本要求(1)不同情况具有不同的收费标准。

● 白天● 晚上● 途中等待(>10min 开始收费)(2)能进行手动修改单价。

出租车计价器系统设计

出租车计价器系统设计

摘要出租车在方便人们出行方面对公交起到了主要的分流作用,作为出租车的主要组成部分——计价系统,不仅能方便司机了解车辆的运作情况,同时也方便乘客明明白白地消费以避免不必要的纠纷和误会,它在人们的日常生活中起到了不可忽视的作用。

由于单片机的诸多优点,如集成度高,功能强,通用性好,特别是具有体积小,重量轻,能耗小,价格便宜,可靠性高,抗干扰能力强和使用方便等独特特点,所以本电路以89S51 单片机为中心、附加A44E 霍尔传感器测距,实现对出租车计价统计,采用AT24C02 实现在系统掉电的时候保存单价和系统时间等信息,输出采用8 段数码显示管。

本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。

关键词:计价器;单片机;设计AbstractThe taxi is an important means of transportation. As a part of it, Pricing System provides drivers and passengers with accurate numbers of a distance and a price, which is helpful to avoid some disputes.SCM is high-integrated, strong-functional, general-used. It also has some features---lightness, low energy consumption, low price, high-reliability, high anti-interference ability and easy operation.The circuit in this paper concludes 89S51SCM as the central part, and appends A44E Hall sensor to measure distance. It adopt AT24C02 to preserve Addresses and system time when the system’s power is off. These numbers are shown by 8 sections of digital display tube. Moreover, Addresses can be moderated according to different periods of time-day, night, and waiting. Meanwhile, it is a clock when it does not count.Key words: Meter SCM design目录摘要 (I)Abstract (II)第一章绪论 (1)1.1计价器设计现状 (1)1.2 设计任务及要求 (1)1.2.1 基本要求 (1)1.2.2 发挥部分 (2)第二章系统设计方案论证 (3)2.1 方案一 (3)2.2 方案二 (3)第三章电路的功能单元设计 (5)3.1 设计总框图 (5)3.2 里程计算、计价单元的设计 (5)3.3 数据显示单元的设计 (7)3.4 AT24C02掉电存储单元的设计 (10)3.5 设计总体电路图 (12)第四章程序设计 (13)4.1 模块介绍 (13)4.2 程序流程图 (14)4.3 程序清单 (17)4.4 测试报告 (23)4.4.1里程计价测试 (23)设计总结 (25)致谢 (26)参考文献 (27)第一章绪论1.1计价器设计现状目前国内所采用的计价器主要采用的是以89S51单片机为主,8段数码管显示的电路系统,从而实现对出租车的计价统计。

出租车计费器

出租车计费器

出租车计费器设计要求计费标准为武汉起步3元,1公里后为4元/公里,1.5公里后为5元/公里,2公里后为6元/公里,2.5公里后为7元/公里,3公里后为8元/公里,以后1.4元/公里。

当计费达到20元后,每公里加收50%的车费,车停止每3分钟增加0.7元。

车费显示出来,有一位小数。

1、 设计原理及方法如图1所示,包含运算控制模块、分频器、显示模块这三大部分。

图1系统框图运算控制器模块将其他两个进行连接,是本次设计的核心。

它包含计程器、计时器、计价器,同时还有读取外部的拨码开关控制信号,提供当前显示信号与显示位选信号,从而周期性交替显示计价/计时信息、等时信息。

计程器、计时器、计价器根据位选信号,输出相应数据的当前选中数字,由顶层模块根据显示数据类型变换信号进行选择,传输给显示模块。

实验箱的时钟信号为20MHz方波,由分频器分为10Hz的计时信号(一个周期代表现实中的1s)、10Hz的计程信号(一个周期代表现实中的10m)、500Hz的数码管扫描信号(扫描频率62.5Hz)、0.2Hz的显示数据类型变换信号(变化周期5s)。

显示模块由实验箱上的3/8译码器、五个LED灯构成状态的指示灯、程序编写的一个七段数码管译码器。

2、主要模块设计2.1、速度模块速度模块首先根据start信号判断是否开始计费,然后根据输入的速度档位sp[2..0]的判断,确定行驶100m所需要的时钟数,每前进100m,输出一个clkout 信号。

同时由cnt对clk进行计数,当cnt等于kinside时,把clkout信号置1,cnt清0。

其模块框图如图2图2速度模块框图2.1.1、速度模块仿真速度模块的仿真波形图如图3示。

该模块根据出租车所处的运行状态和不同的形式速度,对相应数目的时钟周期进行计数,车每行驶100m时输出信号clkout 输出高电平。

图3速度模块仿真波形图2.2、计程模块主要用于记录行进的距离,其模块框图如图4所示。

出租车计价器系统设计方案

出租车计价器系统设计方案

出租车计价器系统设计方案第一章出租车计价器系统功能描述1.1 计价器整体功能描述结构设计出租车计价器方案并进行仿真,完成白天晚上转换计价,显示路程、单价、总金额。

1.2 各部分电路功能描述1 不同情况具有不同的收费标准。

a) 白天b) 晚上2 具有数据的复位功能3 IO 口分配的简易要求a) 距离检测使用霍尔开关A44Eb) 白天/晚上收费标准的转换开关c) 数据清零开关4 数据输出5 按键a) 启动计时开关b) 数据复位(清零)c) 白天/晚上转换第二章方案论证本系统可分4个模块构成:主控电路、掉电保护模块、信号采集模块和显示模块。

2.1主控电路选择方案一使用数字电路和模拟电路来完成设计要求。

显示器件有:LED显示,VFD显示,分段式数码管等等,针对显示单元电路这里可以使用分段式数码管显示,分段式数码管分为共阳极和共阴极两种,对数码管的驱动针对不同的类型采用不同的芯片,共阴极数码管通常采用TTL系列的74LS48驱动,而共阳极数码管通常采用CMOS系列的CD4543来驱动;仅时钟显示电路框图和实际电路就非常复杂,整体电路将更加烦琐。

而且对于模式的切换需要用到机械开关,机械开关时间久了会造成接触不良,功能不易实现;整体电路的规模较大,用到的器件多,造成故障率高,难调试。

方案二使用单片机来完成设计要求。

单片机功能强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。

针对计费模式的切换,通过软件编程就可以容易的实现。

避免了机械开关带来的不稳定因素。

确定方案经过上面的两种方案的比较,显然第二种方案具有更大的优越性、灵活性,所以我们采用第二种设计方案进行设计。

2.2显示电路选择方案一:采用液晶显示器(LCD)显示。

液晶屏显示功能强大,可显示各种字体的数字、汉字、图像,还可以自定义显示内容,显示内容较丰富;方便操作者读取信息及一些扩展功能的实现。

出租车计费器课程设计

出租车计费器课程设计

出租车计费器课程设计引言出租车计费器是出租车行业中非常重要的设备之一,它可以根据乘客的行程信息和里程数自动计算车费,提供准确且公正的价格。

为了确保出租车计费器的正确使用,需要设计一个相应的课程来教导司机正确操作和了解计费器的原理。

基本原理介绍出租车计费器的基本原理是根据行程里程和时间来计算车费。

我们在课程设计中需要首先向学员介绍计费器的基本原理,包括计费器的工作流程和计费算法。

学员需要了解如何启动计费器、输入行程信息、选择计费模式等基本操作。

计费器操作指南在这一部分,我们将详细介绍如何正确操作出租车计费器。

具体内容包括如下几个方面:启动计费器•打开计费器电源•根据屏幕提示输入车辆牌照号码•输入行程开始时间输入行程信息•选择计费模式:一般计费、夜间计费等•输入起始地和目的地的距离计费器功能•显示计费器当前状态和车费金额•提供打印车费明细的功能•支持多种计费模式的切换计费器故障处理•计费器出现故障时的应急处理方法•常见故障问题的解决办法•如何维护和保养计费器出租车计费器的标准和要求在这一部分,我们将介绍出租车计费器在使用过程中需要遵守的标准和要求。

学员需要了解相关的行业和政府规定,以保证计费的公正和规范。

计费准确性要求•计费器应按照规定的计费标准进行计费•计费器显示的车费金额应准确无误计费器合规性要求•计费器需要符合行业标准和政府规定•计费器应通过相关机构的检测和认证计费器维护和检修要求•定期对计费器进行维护和检修,确保其正常工作•计费器的故障应及时报修和处理实际操作演练为了帮助学员更好地掌握出租车计费器的操作和使用,我们将组织实际操作演练。

学员将亲自操作计费器进行计费和打印车费明细。

通过实际操作,学员将更好地理解计费器的各个功能和操作步骤。

课程总结在这一部分,我们将对整个课程进行总结和回顾。

学员将被要求回答一些相关问题,以检验他们对出租车计费器的理解和掌握程度。

同时,我们也可以提供一些额外的资料和资源,供学员进一步学习和提高。

数电课程设计出租车计价器讲解

数电课程设计出租车计价器讲解

目录引言 (1)一、设计目的 (1)二、设计任务 (1)2.1设计要求及技术指标 (1)2.2要完成的任务 (1)2.3设计模块 (2)2.4总体思想 (2)2.5总体框图 (3)三、出租车自动计费器的实现 (3)3.1出租车电路的实现 (3)3.1.1里程计算与显示电路 (3)3.1.2里程比较电路 (4)3.2时间计费模块中的计数部分 (6)3.2.1设计任务分析 (6)3.2.3单元电路分析与设计 (6)3.2.4系统原理电路与仿真 (6)3.3 时间计费模块中的比较部分 (7)3.3.1 设计任务分析 (7)3.3.2 系统方案设计 (7)3.3.3 单元电路分析与设计 (7)3.3.4 系统原理电路与仿真 (8)3.4计价部分 (8)四、仿真过程 (8)4.1里程大于3km时 (9)4.2 等待时间大于5分钟时 (9)五、总电路 (10)六、设计心得 (10)七、出租车计费器核心器件简介 (12)7.1 74LS160 (12)7.2 74LS85 (14)7.3 555计时器 (15)7.4 7400N 74LS02N 7404N (17)7.5所用元件数量及价格表 (18)八、参考文献 (19)引言随着社会的进步以及人们生活水平的日益提高都促使交通工具的不断变化,无论是在大城市或是中小城市越来越多的出租车公司不断的出现。

出租车的出现方便了人们的生活,对于现在快节奏生活方式的人们来说出租车无疑是最好的交通工具。

那么其收费的方式自然而然就被给予关注,比如收费标准以及行驶里程等。

一、设计目的1.1 本电子设计主要实现以下目的:1)增强对数字电子技术课程的了解与掌握2)学习相关软件的使用方法3)具备简单电子的设计能力二、设计任务2.1设计要求及技术指标设计一出租车计价器,设计要求及技术指标如下:1、能计汽车行驶的里程和停车等候时间。

2、能自动显示出该收的车费和停车等候费。

3、超过基本里程,每千米行车费增加1元。

出租车计价器ppt课件

出租车计价器ppt课件


(1)计费电路模块

计费电路模块由里程计数器和计费计数器构成。
程序设计在里程脉冲的作用下,使用if判断语句判断停止、 启动、暂停信号,若停止则将里程计数器和计费计数器清零; 若启动则里程计数器清零,计费计数器赋初值(起步价 10.00元),若暂停则里程计数器和计费计数器都保持不变。 在正常行驶时,需根据所计的里程数和计费规则计算出租车 费用。如判断里程数是小于5km还是大于等于5km,计费是 否超过50.00元等。其模块图如下:
(3)能模拟汽车启动、停止、暂停等状态。



扩展功能:
暂停等待收费功能:当车辆行驶超过起步范围时,每暂停等 待三分钟加收费用0.5元,最终总费用为正常行驶计费与暂 停等待计费之和。
2、设计思路

设计分为路程计费及车费和里程的显示部分,程序设 计流程图如下:

计价器先进行停止 ( stop)判断,再进行启动 ( start)判断,然后进行暂停(pause)判断,当出 租车正常行驶时,每行驶10米,传感器产生一个脉冲, 通过对该里程脉冲计数,确定行驶里程数和收费金额。 最后通过数码管进行显示。 根据出租车计价器的基本功能,可以将出租车计价器 控制电路划分为计费电路模块,进制转换模块和数据 选择译码显示模块三个部分。
出租车计价器
出租车计价器控制电路设计

1、设计任务及要求 基本要求: (1)计费功能:按行驶路程计费,起步价为10.00元,并在 行驶5km后按1.4元/km计费,当计费达到或超过50元时,每 公里加收50%的车费,车停止不计费;

(2)动态扫描电路,将车费和里程显示出来,保留两位小 数;

(3)数据选择译码显示模块 该模块实现将里程计数和计费计数的四位十进制数在扫描 时钟的作用下动态显示在8个数码管上的功能。 首先利用8选一数据选择器分时选择其中一个数输出并使对 应的数码管片选有效。然后将输出的数据(8421BCD码)译 码为共阴极数码管的8位二进制数(分别对应hgfedcba),h 对应小数点显示。这里利用扫描时钟控制8进制计数器计数 产生数据选择器的地址选通信号,该时钟可直接采用进制转 换模块中的时钟信号。其模块图如下:

《出租车计价器》课件

《出租车计价器》课件
《JJG 517-2009 出租汽车计价器检定规程》
该规程对出租汽车计价器的检定项目、技术要求、检定方法、检定周期等进行了详细规 定,是确保出租车计价器准确性和可靠性的重要保障。
出租车计价器的地方标准
要点一
《DB11/T 488-2007 北京市出 租汽车计价器…
该规范适用于北京市内的出租车计价器,规定了其技术要 求、检定方法和检定周期,是北京市出租车行业管理的重 要依据。
计价器的正确使用方法
01
乘客上车后,按下开始 按钮启动计价器。
02
行驶过程中,计价器会 根据行驶里程和时间计 算费用。
03
到达目的地后,按下结 束按钮,计价器停止计 费。
04
打印发票:按下打印按 钮,计价器将打印出费 用明细和发票。
计价器的日常维护保养
01
02
03
04
保持计价器表面清洁,避免灰 尘和污垢影响使用。
定期检查计价器的机械部分是 否正常运转,如有问题及时维
修。
定期更新计价器的电池,确保 其正常工作。
定期对计价器进行校准,确保 计费的准确性。
计价器的常见故障及排除方法
计价器不工作
检查电源是否正常,如有问题 更换电池或检查线路。
计价器显示异常
检查显示屏是否损坏,如有问 题更换显示屏或检查线路。
计价器计费不准确
可能是传感器故障或里程表故 障,需要专业维修人员进行检 修。
计价器无法打印
检查打印纸是否用完或安装正 确,如有问题更换打印纸或检
查打印机设置。
04 出租车计价器的未来发展
CHAPTER
智能化计价器的发展趋势
智能化计价器将具备更高的自动化和 智能化水平,能够实时更新计价数据 ,自动调整价格,提高计价的准确性 和效率。

出租车计价器设计程序原理图实物图

出租车计价器设计程序原理图实物图

目录摘要 (Ⅰ)第1章引言 (1)1. 1 出租车计价器概述 (1)1. 2 本设计任务 (1)1.2.1 设计任务 (1)1.2.2 设计要求 (1)1. 3 系统主要功能 (2)第2章出租车计价器硬件设计 (3)2.1 系统的硬件构成及功能 (3)2. 2 AT89S51单片机及其引脚说明 (4)2.3 AT24C02引脚图及其引脚功能 (6)2. 4 AT24C02 掉电存储单元的设计 (6)2.5 里程计算、计价单元的设计 (7)2.6 数据显示单元设计 (8)第3章系统软件设计 (10)3. 1 系统主程序设计 (10)3. 2 定时中断程序设计 (12)3. 3 里程计数中断服务程序设计 (12)3.4 中途等待中断服务程序设计 (12)3. 5 键盘服务程序设计 (12)3. 6 显示子程序服务程序设计 (12)第4章系统调试与测试结果分析 (13)4. 1 使用的仪器仪表 (13)4.2 系统调试 (13)第1章引言本次课程设计利用单片机技术来实现一台多功能出租车计价器,具有性能可靠、电路简单、成本低等特点。

1.1 出租车计价器概述计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算)。

出租车计价器通过传感器与行驶车辆连接。

出租汽车的实际里程通过传感器的脉冲信号在计价器里折算成一定的计价营运里程。

目前市场上出租车计价器功能主要有具有数据的复位功能、白天/晚上转换功能、数据输出功能、计时计价功能等等,但能够进行语音播报数据信息的出租车计价器还是比较少见的,针对这一点我们来设计一款多功能出租车计价器,在原有功能的基础上增加单价输出、单价调整、路程输出、显示当前的系统时间、语音播报数据信息等功能。

1.2 本设计任务1.2.1 设计任务设计一款基于AT89S51单片机的出租车计价器。

1.2.2 设计要求1.基本要求(1)不同情况具有不同的收费标准。

● 白天● 晚上● 途中等待(>10min 开始收费)(2)能进行手动修改单价。

出租车计价器ppt课件

出租车计价器ppt课件



(4)暂停计费(扩展功能)

在超出5km后,车暂停时,进行时间计时(需秒脉冲,可通 过前面的计数脉冲分频的到),比如每计时3分钟计费器加 0.50元,最后将暂停计费与里程计费相加得计价总费用。
3、整体功能实现

法一:采用原理图输入方式,将前面各子模块连接起来。
构成整利用VHDL语言描述顶层实体,代码中采用元件例化语 句将各子模块加载进来,以实现整体出租车计价器控制功能。 设计先分功能模块进行编译和仿真,然后再整体仿真,在仿真 正确后,再进行引脚锁定,并下载到实验箱上验证。 硬件测试时,启动信号、暂停信号、停止信号和由拨码开关输 入,路程和费用信息由数码管显示。锁定时将设计中的输入输 出信号和FPGA的具体管脚相对应,锁定完后再进行一次编译, 保证管脚配置起作用。将编译后的文件(*.sof)下载到目标板 上,进行硬件测试。
CLK:里程脉冲信号(每10m一个脉冲) START:模拟出租车启动信号 STOP:模拟出租车停止信号 PAUSE: 模拟出租车暂停信号 MONEY:出租车费用(十进制数) LENGTH:里程数(十进制数)
(2)进制转换模块

该模块实现将里程计数和计费计数输出的十进制数转换为 8421BCD码送给译码显示模块译码后用数码管显示。 功能实现:在一个频率较高(如10MHZ)的时钟信号作用下 进行8421BCD码加计数并与里程计数和计费计数值进行比较, 在该计数值小于里程计数和计费计数值的情况下,持续计数, 当该计数值与里程计数值或计费计数值相等时,该计数器停 止计数,则停止时的计数值即为里程计数值或计费计数值的 8421BCD码形式。其模块图如下:

(1)计费电路模块

计费电路模块由里程计数器和计费计数器构成。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
相关文档
最新文档