数电课程设计38进制计数器

合集下载

数电74ls38八路数据分配器原理

数电74ls38八路数据分配器原理

数电74ls38八路数据分配器原理下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。

文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!以下是根据题目"数电74LS38八路数据分配器原理"写的中文演示文章,包含清晰的编号列表,以及不同层级的标题和小标题:74LS38八路数据分配器原理。

38译码器实验报告

38译码器实验报告

38译码器实验报告实验原理:译码器是数字电路中的组合逻辑电路,它的作用是把二进制码组转换为相应的十进制数或BCD码。

由于是多对一的映射关系,故称为译码器。

常用的译码器有十进制译码器、BCD译码器、7段译码器(数码管译码器)等。

本次实验使用的是常用的数字电路集成电路74HC138,它是一个三-八行数码管译码器,能将3位二进制码译成8种不同的输出。

实验内容:1. 搭建实验电路:将74HC138译码器与LED灯和电路板上的电源和接地线连接。

2. 上电测试:将电路板插到插座上,上电后,LED灯按照二进制码的不同组合依次闪烁。

3. 换成7段数码管:将LED灯换成7段数码管,上电后,数码管能够显示不同数字。

实验步骤:1. 准备材料:电路板、74HC138译码器、LED灯、7段数码管、220欧姆电阻、杜邦线、面包板、数字万用表等。

2. 按照示意图,在面包板上连接电路,连接如下:将电源和接地线连接到面包板中。

将74HC138译码器的8个输出引脚连接到面包板的8个LED灯的阳极上,并通过220欧姆电阻连接到接地线上。

同时,将74HC138译码器的3个选择输入引脚连接到面包板的数字端口(1-3号端口)。

74HC138的数据输入引脚不连接。

将7段数码管的A-G引脚连接到面包板的数字端口(4-10号端口),将7段数码管的DP引脚接到接地线上。

3. 检查电路连接:确保每个引脚都连接到正确的端口。

使用数字万用表进行连通性测试。

5. 更换电路元件:将LED灯换成7段数码管。

使用数字万用表确认7段数码管引脚与数字端口的连接关系。

6. 上电测试:再次上电,调整数字端口上的开关,能够让7段数码管显示不同的数字。

实验结果:经过搭建和调试,我们成功实现了74HC138译码器的上电测试和数码管显示的功能。

我们通过手动改变数字端口上的开关状态,成功地改变了LED灯的亮灭顺序和7段数码管的显示数字。

实验结果显示,译码器具有将二进制码组转换为相应十进制数或BCD码的功能,能够广泛应用于数字电路中。

3-8译码器和模13BCD码计数器实验报告

3-8译码器和模13BCD码计数器实验报告

成绩指导教师日期2011-4-8 XXX 大学实验报告实验课程名称:电子系统EDA院系名称:信息学院专业名称:通信工程实验项目名称:3-8译码器和模13BCD码计数器班级:XXXXX 学号:XXXX报告人:XXXX实验一 3-8译码器和模13BCD码计数器一、实验目的:1、练习使用QuartusⅡ软件进行设计输入、设计仿真;2、掌握基本组合逻辑电路和基本时序电路的实现方法。

二、实验原理:1、3-8译码器是常用的组合逻辑电路,其功能是对3位码进行译码,下面是74138的真值表。

表一:74138真值表2、模13BCD码计数器是基本时序电路,其功能是对输入脉冲进行计数,下面是其真值表。

表二:模13真值表输入输出CLK CLR Q1D Q1C Q1B Q1A Q0D Q0C Q0B Q0Ax 1 0 0 0 0 0 0 0 0↑0 0 0 0 0 0 0 0 1↑0 0 0 0 0 0 0 1 0↑0 0 0 0 0 0 0 1 1↑0 0 0 0 0 0 1 0 0↑0 0 0 0 0 0 1 0 1↑0 0 0 0 0 0 1 1 0↑0 0 0 0 0 0 1 1 1↑0 0 0 0 0 1 0 0 0↑0 0 0 0 0 1 0 0 1↑0 0 0 0 1 0 0 0 0↑0 0 0 0 1 0 0 0 1↑0 0 0 0 1 0 0 1 0↑0 0 0 0 0 0 0 0 0三、原理图:1、3-8译码器原理图图一:3-8译码器原理图2、模13计数器原理图图二:模13计数器原理图四、仿真结果:1、3-8译码器仿真结果图三:3-8译码器仿真结果图2、模13BCD码计数器仿真结果图四:模13BCD码计数器仿真结果图五、设计心得1、设计38译码器的时候要注意使能端G1接高电平,G2A、G2B接低电平,否则不会译码。

如果使用总线输出,原理图将更简便。

2、设计模13 计数器注意74160是异步清零,所以用总体清零法实现电路时要把与非门接在13对应的的3个1上,然后连接CLK。

eda第二次实验 38译码器

eda第二次实验 38译码器

实验二组合逻辑电路的VHDL模型实验一、实验目的1、掌握组合逻辑和时序逻辑电路的设计方法。

2、掌握组合逻辑电路的静态测试方法。

加深FPGA设计的过程,并比较原理图输入和文本输入的优劣。

3、了解通用同步计数器,异步计数器的使用方法。

4、理解积分分频器的原理。

二、硬件要求拨位开关、FPGA主芯片EP1K30QC208、LED显示模块三、实验原理译码器是输入数码和输出数码之间的对应关系,也就是说,“输入码和输出码之间的对应表”这应该算是设计译码器的必须条件。

译码器常用来做码和码之间的转换器,也常被用于地址总线或用作电路的控制线。

例如下面为常见的3×8译码器的真值表:实验中可根据需要,为3×8译码器加入使能控制脚。

一般的分频器可获得的分频频率种类分布不均匀,积分分频,能比较好的解决这个问题。

1、分频结果=来源频率×N/(2ⁿ-1);2、频率波形不均匀。

四、实验内容及步骤本实验内容是完成38译码器和5/8分频器的设计,然后将3×8译码器的结果在实验箱上实现,5/8分频器则能正确仿真、显示,实验步骤如下:1、编写3×8译码器的VHDL代码。

2、用MaxPlusII对其进行编译仿真。

3、在仿真确定无误后,选择芯片ACEX1K EP1K30QC208。

4、给芯片进行管脚绑定,在此进行编译。

5、根据自己绑定的管脚,在实验箱上对键盘接口、显示接口和FPGA之间进行正确连线。

6、给目标板下载代码,在开关输入键值,观看实验结果。

7、编写5/8分频器的VHDL代码。

8、用MaxPlusII对其进行编译仿真。

9、使用WaveForm进行波形仿真。

五、程序代码1. 38译码器library ieee;use ieee.std_logic_1164.all;entity a3toy8 isport (en:in std_logic;a:in std_logic_vector(2 downto 0);b:in std_logic_vector(2 downto 0);y:out std_logic_vector(7 downto 0);YM: out std_logic_vector(7 downto 0));end entity a3toy8;architecture a3y8 of a3toy8 issignal t:std_logic_vector(3 downto 0);signal v:std_logic_vector(3 downto 0);begint <= en & a(2 downto 0);process(t(3 downto 0))begincase t(3 downto 0) iswhen "1000" => Y(7 downto 0) <= "00000001";when "1001" => Y(7 downto 0) <= "00000010";when "1010" => Y(7 downto 0) <= "00000100";when "1011" => Y(7 downto 0) <= "00001000";when "1100" => Y(7 downto 0) <= "00010000";when "1101" => Y(7 downto 0) <= "00100000";when "1110" => Y(7 downto 0) <= "01000000";when "1111" => Y(7 downto 0) <= "10000000";when others => Y(7 downto 0) <= "00000000";end case;end process;v <= t;process(v(3 downto 0))begincase v(3 downto 0) isWHEN "1000" => YM(7 downto 0) <= "01100000";WHEN "1001" => YM(7 downto 0) <= "11011010";WHEN "1010" => YM(7 downto 0) <= "11110010";WHEN "1011" => YM(7 downto 0) <= "01100110";WHEN "1100" => YM(7 downto 0) <= "10110110";WHEN "1101" => YM(7 downto 0) <= "10111110";WHEN "1110" => YM(7 downto 0) <= "11100000";WHEN "1111" => YM(7 downto 0) <= "11111110";when others => YM(7 downto 0) <= "00000000";end case;end process;end architecture a3y8;本代码是通过控制拨动开关来控制led灯,并且在数码管上显示发亮的是第几盏led。

38译码器实现组合逻辑电路

38译码器实现组合逻辑电路

院系电子信息工程学院班级姓名学号实验名称38译码器实现组合逻辑电路实验日期一、实验目的1.掌握常用集成组合电路的应用;2.掌握译码器的工作原理和特点;3.熟悉集成门电路、译码器的逻辑功能和管脚排列。

二、实验器件1.数字电路实验箱2.集成电路:74LS00、74LS138三、实验原理译码器所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。

译码器可分为三类:变量译码器、码制变换译码器和显示译码器。

变量译码器又称二进制译码器,用以表示输入变量的状态。

对应于输入的每一组二进制代码,译码器都有确定的一条输出线有信号输出。

若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端。

而每一个输出所代表的函数对应于n 个输入变量的最小项。

74LS138为3/8译码器,管脚图如图所示:其中:A2、A1、A0为地址输入端Y0-Y7 为输出端,低电平有效STA、STB、STC 为选通端74LS138功能表如表3-2所示,当STA=1,STB+STC=0时,执行正常的译码操作,地址码所指定的输出端有信号输出(低电平0),其它所有输出端均无信号输出(全为1)。

当STA=0,STB+STC=1,或STA=,STB+STC=1时,译码器被禁止,所以输出同时为1。

指导教师签名院系电子信息工程学院班级姓名学号实验名称38译码器实现组合逻辑电路实验日期三、设计电路,实现四、(1)逻辑电路图(2)原理图指导教师签名院系电子信息工程学院班级姓名学号实验名称38译码器实现组合逻辑电路实验日期(3)实物连接图五、电路功能验证ABC为0,Y为1 A为1,BC为0,Y为0 AB为0,B为1,Y为0指导教师签名院系电子信息工程学院班级姓名学号实验名称38译码器实现组合逻辑电路实验日期AB为0,C为1,Y为0 A为0,BC为1,Y为0 ABC为1,B为1AB为1,C为0,Y为1 AC为1,B为0,Y为0指导教师签名。

数电课程设计

数电课程设计

数电课程设计
摘要:
1.数电课程设计的概述
2.数电课程设计的主要内容
3.数电课程设计的实践方法
4.数电课程设计的重要性
正文:
【1.数电课程设计的概述】
数电课程设计,全称为数字电路课程设计,是电子信息工程、通信工程等专业教育中的重要实践环节。

它旨在通过实际操作,帮助学生深入理解和掌握数字电路的基本原理、设计方法和应用技巧,从而提升学生的实际工程能力。

【2.数电课程设计的主要内容】
数电课程设计的主要内容包括:数字逻辑门电路设计、组合逻辑电路设计、时序逻辑电路设计、触发器设计、寄存器设计、计数器设计、译码器设计、编码器设计等。

这些设计内容涵盖了数字电路的各个方面,既有理论知识的应用,也有实际操作的训练。

【3.数电课程设计的实践方法】
数电课程设计的实践方法主要包括:理论学习、实验操作、电路仿真、硬件实现等。

理论学习是基础,帮助学生理解数字电路的原理;实验操作和电路仿真是手段,让学生在实际操作中掌握设计方法;硬件实现是目标,让学生能够真正做出实际可用的电路。

【4.数电课程设计的重要性】
数电课程设计对于电子信息工程、通信工程等专业的学生来说,具有非常重要的意义。

首先,它可以帮助学生深入理解和掌握数字电路的基本原理和设计方法;其次,它可以提升学生的实际工程能力,使其能够在毕业后胜任实际工作;最后,它也是检验学生理论学习成果的重要方式。

数电课程设计38进制计数器解析

数电课程设计38进制计数器解析

1.课程设计的目的数字电子技术课程是电类专业的主要技术基础课。

通过本课程的学习,能够使学生掌握近代数电理论的基础知识、电路分析与计算的基本方法,具备进行试验的初步技能,并为后续课程的学习打下必要的基础。

数字电子技术基础课程设计是学习数字电子技术基础课程之后的实践教学环节,是对课程理论和课程实验的综合和补充,其目的是训练学生综合运用学过的数字电子技术的基础知识。

学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对数字电子技术课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。

2.设计方案论证2.1 Multisim软件介绍Multisim是加拿大Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。

Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。

通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

功能:(1).直观的图形界面整个操作界面就像一个电子实验室工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如何在真实一起上看到的。

进制计数器课程设计

进制计数器课程设计

进制计数器课程设计一、课程目标知识目标:1. 让学生掌握进制计数器的基本概念,包括二进制、八进制、十进制和十六进制的转换方法;2. 使学生理解进制计数器在实际应用中的重要性,如计算机科学、电子技术等领域;3. 帮助学生了解不同进制之间的相互转换规律,提高学生的数学思维能力。

技能目标:1. 培养学生熟练运用进制计数器进行不同进制间转换的能力;2. 提高学生分析问题、解决问题的能力,尤其在电子技术、计算机编程等领域的问题;3. 培养学生团队协作和沟通能力,通过小组讨论和实践,共同完成任务。

情感态度价值观目标:1. 激发学生对进制计数器的学习兴趣,培养其探索精神和创新意识;2. 培养学生严谨的学习态度,对待科学知识具有敬畏之心;3. 增强学生的国家荣誉感和民族自豪感,认识到我国在进制计数器领域的发展成就。

课程性质:本课程为信息技术与数学相结合的实践课程,强调理论与实践相结合,注重培养学生的动手能力和实际应用能力。

学生特点:本课程针对初中生,学生具有一定的数学基础和逻辑思维能力,但需加强实际操作能力和团队协作能力的培养。

教学要求:教师应结合学生实际情况,采用启发式教学,引导学生主动探究,注重培养学生的实践能力和创新能力。

在教学过程中,关注学生的个体差异,因材施教,确保每位学生都能达到课程目标。

通过课程学习,使学生具备进制计数器相关知识和技能,为后续相关课程的学习打下坚实基础。

二、教学内容1. 导入:通过日常生活中的计数实例,引出进制计数器的概念及其重要性。

- 简介进制计数器的基本概念和应用领域。

- 激发学生对进制计数器的学习兴趣。

2. 基本知识学习:- 二进制、八进制、十进制和十六进制的定义及特点;- 不同进制之间的转换方法,如二进制与十进制的转换、八进制与十六进制的转换等。

3. 实践操作:- 安排学生进行进制计数器操作练习,巩固所学知识;- 设计实际案例,让学生动手解决进制计数器相关问题。

4. 拓展与应用:- 介绍进制计数器在计算机科学、电子技术等领域的应用;- 引导学生探讨进制计数器的未来发展及其对人类生活的影响。

进制计数器设计

进制计数器设计

进制计数器设计
进制计数器是一个电路或程序,用于计算和展示数值,可以在不同的进制下进行计数。

例如,二进制计数器可以计算和展示在二进制系统下的数值,八进制计数器可以计算和展示在八进制系统下的数值,十进制计数器可以计算和展示十进制系统下的数值,以此类推。

以下是一些设计进制计数器的基本步骤:
1. 确定计数器的最大值:根据需要,决定计数器的最大值,例如,一个8位二进制计数器的最大计数值是255。

2. 选择计数器类型:根据计数范围,选择适当的计数器类型,例如,8位二进制计数器使用74193或40193 IC。

3. 连接时钟信号:为计数器提供时钟信号,使其能够按照一定速率进行计数。

时钟信号可以是一个稳定的方波信号。

4. 连接复位信号:将复位信号连接到计数器的复位引脚,以确保计数器在需要时能够重置为0。

5. 连接计数显示器:根据需要,将计数器连接到数字显示器或其他输出设备,以便将计数结果显示出来。

6. 确定进制系统:根据需要,选择适当的进制系统,例如,二进制、八进制、十进制、十六进制等。

根据选择的进制系统,设计适当的数字显示器并将其连接到计数器。

7. 测试和调试:在设计完成之后,进行测试和调试以确保计数器正常工作。

有了这些步骤,就可以轻松地设计一个基本的进制计数器。

当然,具体的实现细节会因为不同的计数器类型和需要的进制系统而略有不同。

设计含异步清零同步使能的38进制加法计数器

设计含异步清零同步使能的38进制加法计数器

一、实验题目:设计一个含异步清零同步使能38进制加法计数器。

二、实验目的:通过实践学习计数器的设计、仿真和硬件调试,进一步熟悉VHDL设计技术。

三、实验要求及设计思路:能熟练利用软件来设计计数器并通过实验来掌握计数器的工作原理。

本实验首先利用QuartusⅡ完成38进制计数器的文本编辑输入和仿真测试等步骤并给出仿真波形,最后在实验系统上验证此设计的功能。

四、实验程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT38 ISPORT (CLK,RST,EN:IN STD_LOGIC;CQ:OUT STD_LOGIC_VECTOR(6 DOWNTO 0);COUT:OUT STD_LOGIC);END CNT38;ARCHITECTURE behav OF CNT38 ISBEGINPROCESS (CLK,RST,EN)VARIABLE CQI:STD_LOGIC_VECTOR(6 DOWNTO 0);BEGINIF RST='1' THEN CQI:= (OTHERS=>'0');ELSIF CLK'EVENT AND CLK='1' THENIF EN='1' THENIF CQI<37 THEN CQI:=CQI+1;ELSE CQI:=(OTHERS=>'0');END IF;END IF;END IF;IF CQI=37 THEN COUT<='1';ELSE COUT<='0';END IF;CQ<=CQI;END PROCESS;END behav;五、功能仿真时序图与硬件电路图:六、设计总结:略。

38译码器verilog代码_Verilog设计实例(2)一步一步实现一个多功能通用计数器

38译码器verilog代码_Verilog设计实例(2)一步一步实现一个多功能通用计数器

38译码器verilog代码_Verilog设计实例(2)⼀步⼀步实现⼀个多功能通⽤计数器写在前⾯博客⾸页 注:学习交流使⽤!相关博⽂相关博⽂ 博客⾸页正⽂多功能计数器,英⽂名为:多功能计数器;所谓多功能,这⾥包括⼆进制计数,格雷码计数以及线性反馈移位寄存器(LFSR)三种,本⽂Verilog设通过从普通的计数器开始,也就是单个功能的计数器开始,⼀步⼀步过渡到多功能计数器。

作为对以下相关博⽂的延伸练习: Verilog设FPGA设计⼼得(8)Verilog中的编译预处理语句计实例(1)线性反馈移位寄存器(LFSR) FPGA设计⼼得(8)Verilog中的编译预处理语句计实例(1)线性反馈移位寄存器(LFSR)普通的⼆进制计数器这个作为开头,不必多说,计数就完事了。

电路设计设计⽂件:`timescale 1ns/1ps//// Engineer: Reborn Lee// Module Name: binary counter// Additional Comments:////module binary_counter#(parameter N_BITS = 4)(input i_clk,input i_rst,output [N_BITS - 1 : 0] o_cnt,output o_cnt_done);reg [N_BITS - 1 : 0] bin_cnt = 0;always@(posedge i_clk) beginif(i_rst) beginbin_cnt <= 0;endelse beginbin_cnt <= bin_cnt + 1;endendassign o_cnt_done = (bin_cnt == 0)? 1:0;assign o_cnt = bin_cnt;endmodule⾏为仿真tb⽂件:`timescale 1ns/1psmodule bin_cnt_tb;parameter N_BITS = 4;reg i_clk;reg i_rst;wire [N_BITS - 1 : 0] o_cnt;wire o_cnt_done;initial begini_clk = 0;forever begin# 2 i_clk = ~ i_clk;endendinitial begini_rst = 1;# 8i_rst = 0;endbinary_counter #(.N_BITS(N_BITS))inst_bin_cnt(.i_rst(i_rst),.i_clk(i_clk),.o_cnt(o_cnt),.o_cnt_done(o_cnt_done));endmodule仿真图:普通的格雷码计数器任意位宽的格雷码计数器,实现的⽅式通常是设计⼀个普通的⼆进制计数器,同时将计数结果转化为格雷码。

数电习题及答案

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由 组合逻辑电路 和 存储电路(触发器)两部分组成。

二、描述同步时序电路有三组方程,分别是 驱动方程 、状态方程 和 输出方程 。

三、时序逻辑电路根据触发器的动作特点不同可分为 同步时序逻辑电路 和 异步时序逻辑电路 两大类。

四、试分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。

解:驱动方程:001101J K J K Q ==== 状态方程:100111010n n Q Q QQ Q Q Q ++==+ 输出方程:10Y Q Q =状态图:功能:同步三进制计数器五、试用触发器和门电路设计一个同步五进制计数器。

}解:采用3个D 触发器,用状态000到100构成五进制计数器。

(1)状态转换图(2)状态真值表(3)求状态方程(4)驱动方程 (5)逻辑图(略)[题] 分析图所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。

解:触发器的驱动方程2001021010211J Q K J Q J QQ K Q K ====⎧⎧⎧⎨⎨⎨==⎩⎩⎩ (触发器的状态方程120011010112210n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=⎧⎪⎪⎨⎪⎪⎩输出方程 2Y Q = 状态转换图如图所示所以该电路的功能是:能自启动的五进制加法计数器。

[题] 试分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。

解:驱动方程,输出方程 状态方程状态转换图如图 所示功能:所以该电路是一个可控的3进制计数器。

[题] 分析图时序电路的功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。

01J X Q =⊕01K =10J X Q =⊕11K =10()Z X Q Q =⊕⋅10000010()n Q J Q K Q X Q Q +=+=⊕11111101()n Q J Q K Q X Q Q +=+=⊕⋅解:输出方程1202210,Y SQ Q Y Q Q Q ==驱动方程0011220021011J K J K J Q Q K SQ Q Q SQ Q ==⎧==⎪⎪=⎨⎪=⎪⎩!求状态方程100111200112102102120n n n Q Q Q S Q Q Q Q SQ Q Q Q Q Q Q Q Q Q Q +++==+=+⎧⎪⎪+⎨⎪+⎪⎩ 得电路的状态转换表如表所示表输 入 现 态次 态输 出 S &n 2Q n 1Q n 0Q1|n 2Q + 1n 1Q + 1n 0Q +Y 1 Y 2 00 0 0 0 0 0 ( 0 1 1 1 1 1 1 10 0 0— 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 0 0 1 0 1 0. 0 1 1 1 0 0 1 0 10 0 1 0 1 0 0 1 1 1 0 0 1 0 1¥ 1 1 0 1 1 1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 0 0 0 1 1 10 00 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 ( 0 0 0 0 0 0 0 0 0 0 0 011 1 0 1 1 1(0 0 01 1画出电路的状态转换图如图所示/图逻辑功能:这是一个有两个循环的电路,0S =时实现八进制计数、2Y 为进位输出,1S =时实现六进制计数、1Y 为进位输出。

38译码器-EDA课设

38译码器-EDA课设

摘要EDA技术是以微电子技术为物理层面,现代电子设计技术为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。

而VHDL语言是硬件描述语言之一,其广泛应用性和结构的完整性使其成为硬件描述语言的代表。

随着社会经济和科技的发展,越来越多的电子产品涌如我们的日常生活当中,在日常生活中译码器起着不可忽视的作用。

本设计就是运用VHDL语言设计的3-8译码器。

3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。

关键词:EDA,输入,输出,译码器目录摘要 (I)1 MAX+plusII及VHDL简介 (1)1.1 MAX+plusII 基本介绍 (1)1.1.1 MAX+plusII的概念 (1)1.1.2 使用MAX+plusII设计的特点 (1)1.2 硬件描述语言——VHDL (1)1.2.1 VHDL的简介 (1)1.2.2 VHDL语言的特点 (1)1.2.3 VHDL的设计流程 (2)2 3-8译码器的介绍 (3)2.1 3-8译码器 (3)2.2 3-8译码器工作原理 (3)2.3 3-8译码器作用 (4)3 设计思路与程序 (5)4 系统仿真 (6)4.1程序的编译 (6)4.2 波形仿真 (7)总结 (9)致谢 (10)参考文献 (11)附录 (12)3-8译码器设计1 MAX+plusII及VHDL简介1.1 MAX+plusII 基本介绍1.1.1 MAX+plusII的概念ALTERA公司的MAX+plusII是其中较常被使用的EDA开发环境,它操作方便、功能强大,提供了原理图输入和VHDL语言输入功能,在环境中可以完成编译、查错、设计驱动信号、逻辑功能模拟、时序功能模拟、对FPGA/CPLD芯片编程等功能。

MAX+plusII支持下列FPGA/CPLD器件的编程:FPGA器件包括ACEX1K系列、FLEX系列;CPLD器件MAX系列。

数电课程设计(60进制计数器设计)

数电课程设计(60进制计数器设计)

目录摘要: (2)1设计题目 (2)1.1设计要求 (2)2题目分析 (2)3设计思路与原理 (3)3.1 LED简介 (3)3.2 芯片74290及六十进制计数器的设计 (4)3.3 三十九进制计数器 (6)4电路图的仿真 (7)4.1六十进制计数器的仿真 (7)4.2三十九进制计数器的仿真 (8)5仪器列表 (9)6心得体会 (9)7参考文献 (10)摘要:要获得N进制计数器,常用的方法有两种:一是用时钟触发器和门电路来设计:二是用集成计数器来构成。

当要得到一些进制数大的计数器时,用时钟触发器和门电路来实现就显的很复杂。

我们就可以用集成计数器来构成,当然集成计数器是厂家已定型的产品,其函数关系已被固化在芯片中,状态分配以及编码我们自己是不可以更改的,而且多为纯自然态序编码,因而利用清零端或置数控制端,让电路跳过某些状态而获得N进制的计数器。

1设计题目60进制计数器的设计1.1设计要求(1)要求学生掌握74系列的芯片和LED的原理和使用方法。

(2)熟悉集成电路的使用方法,能够运用所学的知识设计一规定的电路。

1.2设计任务(1)完成一个60进制的计数器。

(2)LED显示从00开始,各位计数从0—9,逢10 进1,是为计数0—5。

59显示后,又从00重新开始计数。

2题目分析要实现60进制的计数器,单用一片计数器无法实现,我们可以利用级联方式获得大容量的N进制计数器,60进制的计数器就可以由六进制和十进制计数器级联起来构成。

CP 3设计思路与原理 3.1 LED 简介LED 是一种显示字段的显示器件,7个发光二极管构成七笔字形“8”,一个发光二极管构成小数点。

七段发光管分别称为a 、b 、c 、d 、e 、f ,g ,构成字型“8”,如图(a )所示,当在某段发光二极管上施加一定的电压时,某些段被点亮发光。

不加电压则变暗,为了保护各段LED 不被损坏,需外加限流电阻。

信号源 计数器数码显示器十进制计数器(个位)六进制计数器(十位)其真值表如下。

38译码器集成电路版图课程设计报告

38译码器集成电路版图课程设计报告

集成电路版图课程设计报告姓名:陈广学号:2017213830班级:微电子科学与技术17-1班项目名称:3-8组合译码器版图设计合作者:戎俊文、侯冰喆、张开源目录一、概述 (3)二、设计目的及意义 (3)三、设计内容及要求 (4)四、设计思想及说明 (6)五、设计采用的硬件和软件环境 (7)六、设计步骤、各模块组成及说明 (8)七、源代码、设计图 (9)八、设计器件及其模拟 (12)九、测试结果及其分析 (19)十、版图的调试、验证与优化 (19)十一、3-8译码器的应用说明 (20)十二、心得体会总结 (20)十三、设计报告参考内容 (20)一、概述集成电路是一种微型电子器件或部件。

它是采用一定的工艺,把一个电路种所需的晶体管等有源器件和电阻、电容等无源器件及布线互连在一起、制作在一小块半导体晶片上,封装在一个管壳内,执行特定电路货系统功能的微型结构。

在整个集成电路设计过程中,版图设计是其中重要的一环。

它是把每个原件的电路表示转换成集合表示,同时,元件间连接的线也被转换成集合连线图形。

对于复杂的版图设计,一般,把版图设计划分成若干个子版图进行设计,对每个子版图进行合理的规划和布图,子版图之间进行优化连线、合理布局,使其大小和功能都符合要求。

版图设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。

不同的工艺,有不同的设计规则。

设计则只有得到了厂家提供的规则以后,才能开始设计。

在版图设计过程中,要进行定期的检查,避免错误和积累而导致难以修改。

二、设计目的及意义1.利用所学的集成电路知识设计一个3-8组合译码器,考虑可以实现此功能的多种电路结构,分析它们各自的优缺点并进行比较,选着较好的一种结构来实现预期功能。

2.了解L-Edit 的使用环境和方法学习并熟练掌握使用方法,选择合适的nm工艺,画出预期设计电路的电路图,并画出棒状图作为电路图转化为版图的之间的桥梁。

3.了解L-Edit 的使用环境和方法学习并熟练掌握使用方法,选择合适的nm工艺,画出预期设计电路的电路图,并画出棒状图作为电路图转化为版图的之间的桥梁。

用异步清零端归零实现三十八进制加法计数器

用异步清零端归零实现三十八进制加法计数器

课程设计的目的与要求1.课程设计目的(1)掌握数字、模拟电路的一般设计方法,具备初步的电路设计能力。

初步掌握电子电路的计算机辅助设计、仿真方法。

(2)学会借助各种信息资源(包括网络资源、书刊教材手册等)查阅所需资料。

(3)熟悉常用电子器件的类型和特性并会合理选用。

(4)初步掌握普通电子电路的安装、布线、调试等基本技能。

(5)提高综合运用所学的理论知识去独立分析和解决问题的能力。

(6)进一步熟悉电子仪器的正确使用方法。

(7)学会撰写课程设计总结报告。

(8)培养严谨、认真的科学态度和踏实细致的工作作风。

2.课程设计内容和步骤(1)设计根据所选课题的任务、要求和条件,首先要查阅相关资料,进行总体方案的设计,然后对单元电路进行选择、设计和指标分配,计算各单元电路的参数和各种元器件的参数值,最后画出总体电路图(原理图),并在计算机上进行Multisim 仿真。

(2)调试设计方案经指导教师审查后,学生可进入实验室领取元器件等材料,在面包板或电路板上布线、插接或焊接,然后调试电路,排除故障,使之达到设计指标要求,并测量出相应的参数。

(3)撰写总结报告课程设计报告是对课设工作的全面总结。

包括对课程设计任务、目的、方案的选择,电路工作原理、调试分析过程等方面详细的叙述。

特别要对课设过程中所遇到的问题、解决的方法、参加课程设计实践的体会和对课设工作的建议认真总结,写入总结报告。

学生应按规定的格式编写设计报告。

3.报告要求(1)课题名称(2)设计任务和要求(3)设计方案的选择(多个方案的比较)、系统框图、各单元电路的原理图和它们的工作原理以及计算说明。

元器件的参数、使用方法、引脚等加以说明。

(4)重点总结调试过程中出现的问题、现象及分析故障的原因,并采取解决问题的方法和手段。

整理记录的数据,分析结果。

(5)收获体会、存在问题和进一步的改进意见等。

(6) 设计报告统一用A4 纸打印。

每页44 行,每行34 字;打印正文用宋体小四号字。

ISE 38译码器设计及VHDL八进制计数器

ISE 38译码器设计及VHDL八进制计数器
2、新建项目: ISE建议相关的设计、仿真、目标文件等信息都以项目的形式管理。项
目文件建议保存在一个用户指定的文件夹中,ISE要求该文件夹路径中没有中文字符。因此我们首先要利用Windows操作系统建立一个文件夹。在界面的左上部有New Project按钮,或者主菜单File下有“New Project"子菜单。通过单击New ProjectWizard界面,如下图所示。在此界面中需要填写项目名Name,项目存放的目录Location,工作目录Working Directory自动随存放目录变化,除非有特殊要求,可以让其以项目存放目录作为工作目录。顶层文件的格式,默认选择的是Schematic,如果有需要,也可以在下拉列表中另行选择。
完成后点击Next按钮,出现摘要对话框,确认设计信息无误后,点击Finish按钮,完成Verilog源文件的建立。
3、Veriog程序设计:要求设计一一个同步置数、同步清零的8位二进制计数器。
根据功能要求,设计电路的输入、输出,根据逻辑关系确定输入、输出之间的逻辑关系,设计算法描述这种逻辑关系,用Verilog语言描述算法,得到如下的Verilog程序:
文件中每一行都代表一个管脚映射,#号表示注释。因此NET应该是表示网络名,后面跟程序里的端口名,示例中"atlys_ led[0]”是用户程序中的网络名。LOC= U18是指定芯片管脚,#tlys LDO是注释,表示U18连接的是一个编号为LD0的指示灯,这种对应关系是由硬件决定的。
对于8位二进制计数器而言,可以编辑出如下的用户约束文件:
一、实验内容及代码
实验一:ISE软件的使用及38译码器的设计
一、实验目的:
1、了解利用HDL进行集成电路设计的步骤流程。
2、掌握ISE软件的基本使用以及电路图输入方法。

进制转换计数器设计

进制转换计数器设计

《电子设计基础》课程报告设计题目:3/8进制计数器设计学生班级:学生学号:学生姓名:指导教师:时间:2010-2011-1-19周信息工程学院一.设计题目及要求采用74LS161(40161)设计一个3/8进制的计数器,要求:1.用数码管显示状态2.用开关切换两种进制状态3.计数脉冲由外部提供二.题目分析与方案选择利用74LS161的异步清零或同步置数设计一个3/8进制的计数器,这里我采用异步清零法,应注意的是异步清零法要比设计的进制的状态多一个状态。

三.主要元器件介绍74LS161是常用的四位二进制可预置的同步加法计数器,他可以灵活的运用在各种数字电路,以及单片机系统种实现分频器等很多重要的功能。

<74ls161引脚图>:管脚图介绍:时钟CP和四个数据输入端P0~P3清零/MR使能CEP,CET置数PE数据输出端Q0~Q3以及进位输出TC. (TC=Q0·Q1·Q2·Q3·CET)从74LS161功能表功能表中可以知道,当清零端CR=“0”,计数器输出Q3、Q2、Q1、Q0立即为全“0”,这个时候为异步复位功能。

当CR=“1”且LD=“0”时,在CP信号上升沿作用后,74LS161输出端Q3、Q2、Q1、Q0的状态分别与并行数据输入端D3,D2,D1,D0的状态一样,为同步置数功能。

而只有当CR=LD=EP=ET=“1”、CP脉冲上升沿作用后,计数器加1。

74LS161还有一个进位输出端CO,其逻辑关系是CO= Q0·Q1·Q2·Q3·CET。

合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

本设计采用的74LS161逻辑符号:1.异步清零。

ENT=ENP=1,~CLR=0时,计数器被直接清零2.同步置数。

ENT=ENP=~CLR=1,~LOAD=0且同时有时钟脉冲CP的上升沿作用时,A、B、C、D输入端的数据将分别被QA、QB、QC、QD所接收。

试用计数器、38译码器和门电路设计01110101 序列信号发生器用示波器观察并测量波形

试用计数器、38译码器和门电路设计01110101 序列信号发生器用示波器观察并测量波形

Decoderlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity dec3_8 isport (din : in std_logic_vector(2 downto 0) ;dout : out std_logic_vector(7 downto 0) ;);end dec3_8 ;architecture RTL of dec3_8 isbegindout <= "10000000" when ( din = "111" ) else"01000000" when ( din = "110" ) else"00100000" when ( din = "101" ) else"00010000" when ( din = "100" ) else"00001000" when ( din = "011" ) else"00000100" when ( din = "010" ) else"00000010" when ( din = "001" ) else"00000001" ;end RTL ;8位counterlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity count8 isport (clk : in std_logic;rst : in std_logic;en : in std_logic;cm : out std_logic;c_out : out std_logic_vector(7 downto 0) );end count8;architecture arc of count8 issignal cnt : std_logic_vector(7 downto 0); beginprocess(clk,rst)beginif rst = '1' thencnt <= (others=>'0');cm <= '0';elsif rising_edge(clk) thenif en = '1' thenif cnt = "11111111" thencm <= '1';cnt <= "00000000";elsecnt <= cnt + 1;cm <= '0';end if;end if;end if;end process;c_out <= cnt;end arc;dff顶层library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;entity dff isport (clock : in std_logic ;reset : in std_logic ;dout : out std_logic);end dff ;architecture RTL of dff issignal shft_reg : std_logic_vector (7 downto 0) ; beginprocess (clock,reset) beginif (reset = '1') thenshft_reg <= "01110101" ;elsif (clock'event and clock = '1') thenshft_reg <= shft_reg(7 downto 0)& shft_reg(7) ;end if;end process;dout <= shft_reg(7) ;end RTL ;。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1.课程设计的目的
数字电子技术课程是电类专业的主要技术基础课。

通过本课程的学习,能够使学生掌握近代数电理论的基础知识、电路分析与计算的基本方法,具备进行试验的初步技能,并为后续课程的学习打下必要的基础。

数字电子技术基础课程设计是学习数字电子技术基础课程之后的实践教学环节,是对课程理论和课程实验的综合和补充,其目的是训练学生综合运用学过的数字电子技术的基础知识。

学会并利用一种电路分析软件,对电路进行分析、计算和仿真,通过查找资料,选择方案,设计电路,撰写报告,完成一个较完整的设计过程,将抽象的理论知识与实际电路设计联系在一起,使学生在掌握电路基本设计方法的同时,加深对数字电子技术课程知识的理解和综合应用,培养学生综合运用基础理论知识和专业知识解决实际工程设计问题的能力,以及工程意识和创新能力。

2.设计方案论证
2.1 Multisim软件介绍
Multisim是加拿大Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。

它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

可以使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。

Multisim提炼了SPICE仿真的复杂内容,这样无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。

通过Multisim和虚拟仪器技术,可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

功能:
(1).直观的图形界面
整个操作界面就像一个电子实验室工作台,绘制电路所需的元器件和仿真所需的测试仪器均可直接拖放屏幕上,轻点鼠标可用导线将它们连接起来,软件仪器的控制面板和操作方式都与实物相似,测量数据、波形和特性曲线如何在真实一起上看到的。

(2).丰富的元器件
提供了世界主流元件提供商的170000多种元件,同时能方便的对元件各种参数进行编辑修改,能利用模型生成器以及代码模式创建模型等功能,创建自己的与器件。

(3).强大的仿真能力
以SPICE3F5和Xspice的内核作为仿真的引警,通过Electronic workbench 带有的增强设计功能将数字和混合模式的仿真性能进行优化。

包括SPICE仿真、RF仿真、MCU仿真、VHDI仿真、电路向导等功能。

(4).丰富的测试仪器
提供了22中虚拟仪器进行电路动作的测量:
Multimeter(万用表)、Function Generatoer(函数信号发生器)、Wattmeter(瓦特表)、Oscilloscope(示波器)、Bode Plotter(波特仪)、Word Generator(字符发生器)、Logic Analyer(逻辑分析仪)、Logic Converter(逻辑转换仪)、Distortion Analyer(失真度仪)、Spectrum Analyzer(频谱仪)等等。

这些仪器的设置和使用与真实的一样,动态交互显示。

除了Multisim提供的默认的仪器外,还可以创建LabVIEW的自定义仪器,使得图形环境中可以灵活地升级的测试、测量及控制应用程序的仪器。

(5).完备的分析手段
Multisim提供了许多分析功能:DC operating Point Analysis (直流工作点分析)、AC Analysis(交流分析)、Transient Analysis(瞬态分析)、Fourier Analysis(傅里叶分析)、Noise Analysis(噪声分析)、Distortion Analysis(失真度分析)、DC Sweep Analysis(直流扫描分析)、等等。

它们利用仿真产生的数据执行分析,分析范围很广,从基本得到极端的到不常见的都有,并将一个分析作为另一个分析的一部分的自动执行。

(6).独特的射频(RF)模块
提供基本射频电路的设计、分析和仿真。

射频模块由RF-specific、用于创建用户自定义的RF模型的模型生成器、两个RF-specific仪器、一些RF-specific 分析等组成。

(7).强大地MCU模块
支持4钟类型的单片机芯片,支持对外部RAM、外部ROM、键盘的LCD
等外围设备的仿真,分别对4种类型芯片提供汇编和编译支持;所建项目支持C
代码、汇编代码以及16进制代码,并兼容第三方工具源代码;包含设置断点、
编辑内部RAM、特殊功能寄存器等高级调试功能。

2.2设计思路
本设计为一个38进制计数器。

每隔1s,计数器增1;能以数字形式显示时间。

当定时器增到37时,定时器
会自动返回到00显示,然后继续计时。

本设计中主要设备是两个74LS160同步
十进制计数器,并由1KHZ,5V电源。

2.3设计方法
2.3.1十进制计数电路
计数器就是具有统计输入时钟脉冲个数的时序电路,它是由若干个触发器和
门电路组成的。

它可以按不同的方法分类,分成加法计数、减法计数和可加减的
可逆计数器,或者分成二进制计数器和任意进制计数器(输入几个脉冲后,计数
器开始新的循环,则称为几进制计数器),或者还分成同步计数和异步计数(这两
种的区别是触发器翻转的时刻不同)。

本电路采用74LS160十进制计数器,它是一个具有同步置数,异步清零的可
以保持状态不变的十进制上升沿计数器。

功能表如下:
表1 4位同步十进制计数器74LS160的功能
CP CLR LOAD ENP ENT 工作状态×0 ×××置零
↑ 1 0 ××预置数
× 1 1 0 1 保持
× 1 1 ×0 保持(C=0)↑ 1 1 1 1 计数
2.3.2逻辑器件
图1十进制计数器74LS160的引脚图
图2 电压源VCC
图3 数码显示器
图4 时钟电压源
图5 与非门74LS00D 逻辑图
图6 接地器件
2.4设计原理图

7 38进制计数器原理图
用两片74LS160D 和两个数码显示器把各元件连接成如图所示的38进制的同步计数器,接好地和电源还有数码显示器,计数器2U 表示十位,1U 表示个位,
1U 把的RCO 端接到2U 的ENP 、ENT 端,即个位到9就向十位进位,直到38为止,显示器4U 接到计数器的十位上,3U 接到计数器的个位,分别显示十位和个位,十位上接0011即3,个位上接1000即8,接到数码显示器上 2.5测试方案
进入Multisim11.0界面
图8 Multisim11.0界面
在Multisim 界面选择所需元件,然后单击放好。

图9选择74LS160D元件图
图10选择数码显示器元件图
图11 放置好的各元件图
确认电路无误后,单机仿真按钮,实现对电路的仿真,并观察结果。

3.设计结果与分析
3.1 仿真步骤
把各个元件连接好后,在主菜单中点击仿真后在下面出现对话框,再按运行,便可出现运行的结果。

图12 运行过程图
3.2运行结果
如下表所示,为38进制计数器在起点00和终点37处的运行图
图13 38进制计数器起点00
运行后随时按暂停(Pause)键,便可停到0到37任意数字。

通过以上的仿
真验证分析,所得的结果与所选择设计的方案结果一致,从00状态运行到37状态,可知得到的仿真结果与理论分析结果是相同的,而使用Multisim11.0逻辑器件仿真软件进行数字电子电路仿真更简单、方便、准确。

在实验进行的过程中,会因为线路连接错误或十位个位数字接反等原因,会造成很多错误,从而使电路运行不出结果,因此,连接完电路以后一定要进行检查。

4.设计体会
本设计原理简单,结构清晰,较为容易仿真成功。

数字电子技术课程设计是数字电子技术课程的实践环节,是对学生学习数字电子技术的综合训练。

学生根据某一课题技术指标或逻辑功能的要求,独立进行电路设计,工程估算,实验测试与调整等,通过这次的数字电子技术的设计使我学会了如何使用Multisim软件的方法和一些仿真电路的设计,以及可以准确的得到仿真结果,使我可以把理论与实的基础际相结合,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,
才能真正为社会服务,为以后的学习和工作打下坚实的基础,通过学习数字电子技术这一学科可以更好的了解数字电子,并且通过课设使我提高了综合运用理论知识和专业知识解决实际工程问题的能力、以及创新能力。

Multisim软件的使用,使我找到了很多以前没有完全了解的知识,并学会了如何画电路图,如何选择各元件,以及对电路图的连接,进行分析、仿真和运行、以及分析运行结果等。

5.参考文献
[1] 杨欣,王玉凤. 电路设计与仿真[M]. 北京:清华大学出版社,2011:4-20
[2] 阎石. 数字电子技术基础[M],第5版. 北京:高等教育出版社,2006:300-343
[3] 房永钢,王树红.数字电子技术[M].北京:北京大学出版社,2009:210-235
[4] 郁玲艳. Multisim在数字逻辑电路中的应用[J].科技信息报,2010,23(1):20-23
[5] 董玉冰.Multisim9在电工电子技术中的应用[M]. 北京:清华大学出版社,2008:189-195。

相关文档
最新文档