EDA分频器实验教案

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验三:整数分频器的设计

一实验目的

了解利用类属设计可配置的重用设计技术。

二实验原理

利用类属n 可以改变分频器的分频系数,输出q的频率是输入信号clk频率的1/n。通过给n赋不同的值,就可以得到需要的频率。

三实验器材

EDA实验箱

四实验内容

1.参考教材第5章中5.3.1,完成整数分频器的设计。

2.修改5.

3.1中的分频系数n,观察仿真波形和实验箱输入/输出信号的变化。

3.在试验系统上硬件验证分频器的功能。

在实验三中,建议将实验箱的实验电路结构模式设定为模式7。输入信号clk由键7的输出来模拟,输入信号reset_n由键8模拟,输出信号q接至LED:D14。当然,也可以由实验者设定到其它功能相似的键或者LED。

代码:(参考教材第5章中5.3.1)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity div is

generic(n:integer:=8);

port(clk,reset_n:in std_logic;

q: out std_logic);

end div;

architecture behavl_div of div is

signal count:integer range n-1 downto 0;

begin

process(reset_n,clk)

begin

if reset_n='0' then q<='0'; count<=n-1;

elsif(clk'event and clk='1' and clk'last_value='0')then

count<=count-1;

if count>=(n/2)then q<='0';

else q<='1';

end if;

if count<=0 then count<=n-1;

end if;

end if;

end process;

end behavl_div;

五实验注意事项

1.编写代码时一定要书写规范

2模式的选择和引脚的配置一定要合理

六实验报告

简述实验过程,将实验项目分析设计,仿真和测试写入实验报告。

相关文档
最新文档